From 159f8dfb2e6421e5e639df4434b1d2a6a31cd9b5 Mon Sep 17 00:00:00 2001 From: Xilin Wu Date: Sun, 6 Feb 2022 12:40:29 +0800 Subject: [PATCH] Remove unused DSDT files --- legacy/beryllium/DSDT.aml | Bin 246112 -> 0 bytes legacy/beryllium/DSDT.dsl | 58350 ------------------- legacy/beryllium/adc.asl | 707 - legacy/beryllium/cust_adc.asl | 898 - legacy/beryllium/cust_pmic_batt.asl | 50 - legacy/beryllium/cust_thermal_zones.asl | 570 - legacy/beryllium/cust_touch_resources.asl | 368 - legacy/beryllium/displayXML.asl | 397 - legacy/beryllium/pmic_batt.asl | 521 - legacy/beryllium/thz.asl | 557 - legacy/beryllium/wcnss_bt.asl | 49 - legacy/beryllium/wcnss_resources.asl | 384 - legacy/dipper/DSDT.aml | Bin 241212 -> 0 bytes legacy/dipper/DSDT.dsl | 58406 -------------------- legacy/dipper/adc.asl | 707 - legacy/dipper/cust_adc.asl | 898 - legacy/dipper/cust_pmic_batt.asl | 50 - legacy/dipper/cust_thermal_zones.asl | 570 - legacy/dipper/pmic_batt.asl | 526 - legacy/dipper/thz.asl | 557 - legacy/dipper/wcnss_bt.asl | 49 - legacy/dipper/wcnss_resources.asl | 384 - 22 files changed, 124998 deletions(-) delete mode 100644 legacy/beryllium/DSDT.aml delete mode 100644 legacy/beryllium/DSDT.dsl delete mode 100644 legacy/beryllium/adc.asl delete mode 100644 legacy/beryllium/cust_adc.asl delete mode 100644 legacy/beryllium/cust_pmic_batt.asl delete mode 100644 legacy/beryllium/cust_thermal_zones.asl delete mode 100644 legacy/beryllium/cust_touch_resources.asl delete mode 100644 legacy/beryllium/displayXML.asl delete mode 100644 legacy/beryllium/pmic_batt.asl delete mode 100644 legacy/beryllium/thz.asl delete mode 100644 legacy/beryllium/wcnss_bt.asl delete mode 100644 legacy/beryllium/wcnss_resources.asl delete mode 100644 legacy/dipper/DSDT.aml delete mode 100644 legacy/dipper/DSDT.dsl delete mode 100644 legacy/dipper/adc.asl delete mode 100644 legacy/dipper/cust_adc.asl delete mode 100644 legacy/dipper/cust_pmic_batt.asl delete mode 100644 legacy/dipper/cust_thermal_zones.asl delete mode 100644 legacy/dipper/pmic_batt.asl delete mode 100644 legacy/dipper/thz.asl delete mode 100644 legacy/dipper/wcnss_bt.asl delete mode 100644 legacy/dipper/wcnss_resources.asl diff --git a/legacy/beryllium/DSDT.aml b/legacy/beryllium/DSDT.aml deleted file mode 100644 index 3b3080afa6793011b28b6391c0cadefde8594760..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 246112 zcmeFa37lNlStojLZC!nZv4e3|dlJa71dxLg;70=akqjgZ4>H8VV_4>e5CTjB4@h7F$%HU3%w#f5^8V+Z zbI(2JE>*Yc-j?jR4Y@j9_q+e|o$Wizx12(~(0KGeM3u-_@5@&!mF@LH<=ElD?MhTp zl+t9QJQUrr{n}_sS^LO=WS{9Cao$Fq-H87v>L9I(cS|bQbAGzLEvSN8e6TWu!*c zWNoTmTD@B918S+S|B~_?vQSdVN}*KHq7Oe)O-_&12b0Z0v8E@~TCs-oM5&M=%{P1~ zdss=3LO1chWHXQ7v-w(yze_f!O9cfl@>ylz_GW&H@ROS!TfIqJ|6H%4Dx-gf|EbE` z5{jzcrYg$Q%60Po;b%4!iZg=)z0GW4O1Z8j2a?UH%8YVddH9(eYBE1e8PXzzq482x zV(Q2dZ>I3hU`l07<$DCKvI*_`C|gTOrC*_JeGS#|&h6k;Rkq-N%HWmtin3AJg`YpA zJc4FFK=L<8R8>h55=G%8KPZr}!VM&vg;HHX;p&Yn3b*RvXC~v>T%qY+$%Dg(hQ;!b z8s*=n9$uQN)`ohOl%giHGr6)dpf{(AFXe0D_0Y+zrlk{?r&`LXdqeSJ)e>amET>WPRJK^p(W zwD@)M^5JL7=~`uQNWwjCD+QW>bt-UUm1tm_&?0?W3VowjRU^D_3}kzng?c6Sfl>kp zjppF$sH*(b`yN+F`dT^mUgNhlTL0(^yQ_cmCqGkqwtVZAcfa(9_kLi|pdV5ee*BGu zc<5T1&<-irfe?LZ^|g%gr+VEe)l2FJUrLZS$_F2QrjV>vvNfqR$HdZ*a`Z(>t&(=E z=PRV^($-B442~CT4JC3=#rDhF4;?CS=;%9M9L#xnd8$<;WWj5Uxl+iAPp%)i%F-1EdeBQ8hvO zD*iCKF^H6Cyl=x;xiC1I9+-$V$EPg5H$A+@V7FgeYE+6t?5`KK?b&?8{OhCSult7< z2m&F#PAExx)u$S&SpmaV1#|$ZkZcwnCx8n`Ba;P^gPGGc?LF@$RjOQ9ucNBR^7+*3 z2a`2?qs7TT!&+}LKV5HRFxfOKjhd32nXFb)f7X|rDOc}LeL0;hR%(sZQaV|Hh)lgT zn#@)6wbYNSPUb+6`a~+3D_3h6L%B)(vo2X08!u?X$r<)fp)i#CPJa^F4y6tylN0%J z31S*gO-t4%@Xwve3G&a;hR>%(tOijG&dQareGt&O(Z$#B(bCXH`-}L z6g$aZ2_&ZMJD96XPB96xI!^M%}OHX}RWwaBiTn=4;il>QqMomvvOXc);@t^Hs%wC{vpb9egT{(4b z_Tu^TNMTOHuY*I)!J~si!-GS3%TwAKEtdMro~KBsA|33}tB-1DPMs!y4_klFE|4@W zLqrk&h$;)G&7v*L(o~!=eoL;FpJ05aqUdep|G!8@>A)=j7*B#uZw_Sv?UWoXIR&ADe@5Tdp`^_O?E>V-g*X6itiKu2hQU z8x@maOHb-EJ*kf#5jw-tFGQ8}XZ`|dM<75nyvm!~(5xeBX5*E_cV~VweDb~G1xqMk#z!aoqrR&mV ze7himi`!D)P~W)&A`U`M60lhF3ZgOTA*ZtfjR#4tJxRVj$;tL4we}OjeeFr=?MWK# zNeb;r#zYeL7I;7)NqV%>gFa{;5^2m??g;u0wmQb>-_xf>wH_k*YT84oZ)mA~8rB)9 z-_%ks_d>?eK|;sRNe$nbvbfaSmzlwYRBJ+{4JuX|lM?@Z*i289AhENP&1^Y4RZ%h} zGPz-)Xr>w@Ib-|?G&9*S(v^BOPx4SQMv73DD-+G~Oc}Yd6!K)LVLCz|ex`U!t&wM| zQ8IB34i~)!$cc@@u$b78dRArqd84uKx0WXV=+yA~NtFU$XtVvtHshlAiV`UlmaJrsCOuW$d9vsX20#Y}wfkuyAZp87RHfm}oqd zCfhAYWjt}BfF~w6JdYdCW5$zw*=UkIcB6UNcpfsIBgS*scw+j&+d<_6`S+^}KhE}T zIb-uRsD7Z7#*c5J`?LLYe|Bx%8yDu^@P-48hlZNu*ycHs(B5*L{X|ZLUdr}fyWODH zA`*F{UbBbu|l;7j>;U(3@{X;GnA z!`F;r4J#JZh*_)=UaXO(RIFjKSRkjxlE}qk4QoQN2)R)#ncOPYuqG7CpeDt#QS)Mz zmw2%Tgkp`*VvPvJ8Xjb=H9Tmx*6^TIEUe?KS^zmMmP9TVYeXy-AvcO8lUv0a5sPI| zlVaJZd9jL%yjW|6VjZHzIwTfr$Sl?nFV>J$tV2?X0b*_q+%Twiv@DpS`xWftixil2s!P9 zB07FD$JSx7SO&Gx31wO=TrAcR zsaPPV#gfRaVjYo+MW~Hp$<(}9qbILr``=5XqqgS*Ik8xT+$fezZWSvh7R#U}#j;WJVwE$z zShGU0^0ZiaVU!*}&PM6+<7R6eKaQ2NHA?eRv5uR?63NA4<)vbQoEA$Ww~CdQibbf6 zV#(CJSagUDloH0${`%_Cg!TxI>Q}3qaIkD7ZZQ*^&BPX(P}MzH+!{KcmuMoYeh>>@ z3edjT5l)=TPADMQ|iLw z&ts999iM_Mz@N%d9(pmB%1qYrTV|r1&tX$mnJFll zLVdi3qtZNi;{3Xfbd977l^UKiQ-!jU8PAbtoumg+^~PjDxsK-pN%GK3y&DN}m3&eM zY@u?W@p%PM_&sYpktx!~+re>?9x|T8#&g7Y9x|SXjpq^LdDM6wGoHtdCt(jbV5U$h z+-Ib*sVr3PFDjV_$iWVI8gGj=Wng!{Q6uMTjoPHXCX&flr;5!+wN@=x#~)O*B&w!1 zi39VoaXp_&>Kh{&&5)w4c7HRhXuA8G5k*_${^pRP z4Yuj>HA*k{lPQyiwoyYJ&i6k(-d`(VLLx@tct65YA$5 z{P?`_d5$b&Idd+uuUx1ur|9#@ayccRN0!Se_&l;)PPyli<#LKWk1Ur{>Um_joI=kd z%VWzZ^E|S=(PEZ}g281hrrR=zkp=oV@7_ET^n!R|8XHsDb4a z%Dx!5nN48Sz;ZUhSes5*D9v=x2G)_MpOYGkTKC6>-PkjWvVmD|X-8}Mnsk^cxU7-BLi8u?A%74JOy1DR;2+Y#@&ld z@l2A3KBlQUG~F3PAu}~ygLPhYa;!A2=;dTaPsWrKl(8xjY6?17Rqu^ykrdRjMk<-uy|earg($pOX%Oz*)3o=Ni1$JQkD5$M=XU79^O zdv1R2!sUaPPR*Zd&R$hAQ`u6vIa4h+vKZp!;!LsJgs(wqGFw*kqw+UQ?bh6Ucb2RBaY!V3I5e2SXPL#b|!2*eDwQL`_1e=y#w`Rfx+aeKQ01NaC9Z zB2xN34LWAH!jb>e;`*K5#H8^UwLuT+Qm+S(6$lAmEP_zc_jzXu4z+}$MWF^(75z4E zLc*O%sX(lt9x-o`+Uzg?9c!vWZX8X8XOcYh!r#U+g<@`cd>pH5MIYT4&yY%l2|;nH zM7lUeV+p1R*~xqn8hu0UKAoK^DEj#BH2u=3LU5HYSMw(oeOn}Rc6PS;#Fd37N19Jv zIA_S~BwM9>tBa%Z#^rPd26(*bds)JzK=7Jc(zQTpIPB2MdjI1iic z^)S?29Ab5V#%7#uwbucsGV=CGnARuakxXv7o}J1;@W*PEQ-Z* zb8`zuzcYH2U&^$;mv_=zXjgW_RQ20A*$yjfgSUmppKdP9FU(n)`=?D4foP5qD|;ud zi$FPb_Uxs(CgIi~KXrB9;=mzLyouBL0nWnim?5gXh?DR@>lMjqP0T)awt3;=tOqLs zdVBJ;KFFEbOPTRTr|j(KA8fZ8hW48CrxsyGWYvmq(zGa%DH~R=5K&vOz}xWDm8)%7 za3f8V`I+^MT^0*Y(q!tRF|9|W=GD+7U6a%wrlnycjj72P9s+h->a;G@8}Fm8*s5L@ z1oWtXISMH_g75@s<*k3Z-dl#A5Wpv$(w~7y0-8#Hm>}*Wri%MK#q;q zsMGpEUb}l75?;op0!^T&yhuV={(`JuJEKqQL!6&mU4*=hPdRd(Qd$2H1v25rKdn^j zmXLM9ZNUZYq@^mN>jR_9!oB2i=v8HU(RW=pN++UqKnVqUm!)!i-Npr|JJ&>3< znT(4}tczqCopJAM-RjQpps=f4b}A(1Rn*AQ|H!7DcL-$xV@o#aPW<4hur_+z8`7VA}W zvShwpqofg2V$?0`A=J~2$oizS)G+#w+^oFeRuH`*c~6Sv9=~|*4BR7PZaHt@Ek_!A zdo;DMUh5~VeG7kq)_!X=y|7w^PXuf4ZPrVpy@mHii#xK14@UeZW{);c+{EnB?AXUU zN80jK{)tC>=twUQH|aoEXaE)rZdK3To?-*OKw5ktj-gCie7j!M;tNlv?;wYS<_lSZ5V3(>*3MQG$Oj}XK}Zeh*kyZXGeSBVh`Qhs$HZ;8BouA9 zBqXi5G$^{~6326GxFi&9xFjU4xil!c=W?VimxQ7XmxQD>mj(snva-?Yv2zN7Vx>Nu zAw6~whm&MMVRlU4J+^h$SUly;&elZ+o%NG0GU%*{yU3uk)+t-9b4W?;+m%wjO&Vu( zrE#pDDT+*S{4IOqOgv&u1!NTT|%ZXJV%Mo#NbE8qF96^T63&`n0;#03s;ZaLM>sXvNlsPE zWmt3Z4>Q?Xo}6_mUtFJ3MiEm%TcxG76imppZxBWJ4rjHT{0zfIxW_PpAv6~e|Zt2et^$&mER>kY3~ zTNilb70fG%@RUb{Co+;6epV`QuAKi zt-m(2-cPGe2I8nJ)SQSvMcHN@)$&v=gZ@`A;9Y;E@;wH zo-gx?miByEP_&HaO9;t6&zEBg#EeJ9=TVe?&zC65YR{Kh5?0L%7Uf*y`4Z(E(8san zkLvqluAL<}h$w4l*Hj#%5>eH$JH^-zKn#6Cl@|(TSiR2BqO&VU)&$5~3eBkX^5vN+iQ3#C{zGiLa!;E#LkM&!5qbeYx?*p^#J zY7iti2BcxTPJL*wzXo4RNu0|+SC(Ykf9cI*49mBZ|#+W9#l~zgrwaC!i);mQ=+v%dhyH<2DpL7s-zW7V{ zr0elX2S-0&`S$vx(|ppUeA1}? z-Fi>DtZ}&9T0$PE1|P9H^}}7}HThl3D1Z#935v{QR%!&we=`B9o;z$K#HI zA9fa;%(=uV4J#S13VfZt74B%gzObC@$#TzL^d(xx6L+r86dx#|lWyhR1pW@ZAF*zt zdh{a8ebng;XX~n}uJeHcbKwR;Nh?_O+Y5EfSvM^F0sQrt=bhjbWA(3-4yfa z-@iauwB^&E`sG^;k3d(fvV*U?oP1f$pH>dzPBkpNUBOr%puK<4fA5C^2I8cTD4r0T zTG64Z(TLcwMnfv0{cGrH$bDFj7fa@gAYD+^@1<=`nIHDcJh5@czSGYB`{W+!kXx$W zPdubcjV40y)w!qiJveSdq-xFEP*dPd=#wgm_rKC7A&XY} zq@VF(_O{UbOmTWmY_tB@a}Ms;(aJZYnk~gqpe%_MJ_vTnjrG-W#*b5mF#g+ zW`?1OwCE@15*#Q6VNH0ft9=UBg&12=z5(*Pa~!>3?wW`JCDFoG>Hn0p#1D#uU;k&V*WVz)c!O5%fvz49|y{Be>JsC93=d4@P*$yicp=Q zEvm(S(BqGT(U1KJ!fP%I2T6Y%Ed9|plFP(FuRjiyzy9a=GI5~!R%rOO53N>4tl?n-2GBMVy6f1}kn^*dle&)m`Vnf0cwx}ge zOdEn*$gbosAGGiUhE+WThBq%pD8nof8p9?Y3A1800ZwLvSMk67$$ucatiC0d2#sSC zPvkfn2FGh&{w(E~B|_ua#1lD=g~9O)U;A~+F-wHTv56;g91nxz)Y_k=9J54d9GiF| z$B8gF{^8fXgL2Fgp>b^Di5&NY!EyAlManTtgvPOnCvuz&gX1^9`(es4ON7R;i6?U0 z8v@75qlfM>I5rbuam?{Vj9IpzM8K+oY8rIs8;uj1nl3 z(Jf&x$^>9q>3>5rEJg{G$mrHk89n&;gJCgBphQNuh05sUrFvM55-5?;?V&Py{`)Io zF-o9BMt6kD=sTYq4~tO(B{I4*R7QXC#cWuN5-5?;U7<4idp~h^Sd0=Vke)6`k7$s05qqm02=-8)sg~cd=5*ghWDx-6M zxFsw`36#j_ZJ{!X+j+ubltAUloq}vDvR}^$mOw|GTZgtg5$u)PK(y650>VYvy3I}5 zYW!$dwr;yloFWHu)$t+jAvrpUTahZR{*lFUN^p;a7vyTe|NTUN(sYT0vl*?Xrs?Sz zi$JxFn{t>}1#F$pE?jBCxnY)jn91f@=G*4k^Pn?sD3q+rh=&@1I6rruT;+B4F?wf} zN^WIinZUn^Wpef^As9L1^tZqrDvq4AXquR%z_1GUh?;xDI~)x>KK8%}?xPTI*E25k zK$L=$DPAvd?9mcty-2PpZoJt|0X<3yG8pHwVy@@KoJBENZGl4eAu>zJ-9GV|t&QRl zMA*;^t2poYYpk!wWWKA)KnHy_6xxTcnBneajvww*meX4Jij`u5kCj(_XSh>d6hp*g z;tZGjV^fG7W(2S@g;UyVznkK=m905(^<5o0gb+&Sgvsz|(oCRGxv#YP;-5MP} zzkSt8zb2s<%oatR$mM~vU6oWhTQc)mdNoaGv_hy&f{|o|mpI9qS zMCF#u4GR?z+W2)Zl-WqF#-%SBetWjJoOp$fZ`+DT+DEXt4SfPV$+qMnT~?l@#fe!= zn-g+(tCrWEf4tqVBO@{SNuBCD}Ei(^on0cG`-^20XMG|zmD{ZUq^Hq{W_9v zM~%jh=DLAxyAhFf^gD;dg9x0j6%bR7A4QNjS>|cm-Hy{Xr&ot@*v36BctQll31xSn z4tI;9#VJ-mU7|W%B1F_^x2O)c1rasYEvmz{Ktzpqi|R1{7f}=4qB>0UMbw^dQ5|OV zB5JZ*REMd%h}zpN>i_=LJT;(a30y(LM;0P|b=hB^`hRbys4O9&rn*J_|9S=}DoaSH z>26Uk4*maz2?;gRE$UYv_%cOh2?@2YThy~}`2&i|5)$gFZc)GV3m>PbEFq!x zcZ=Hm?E5JyOGv1zyG8xNx8F)pSwce9yG6Z!*LjM{5)$f~Zc+dEr zs+V6!QCUJlUE3|{@4tT!MP&&IbzQfp|igmW9 zOE4HRrWIn%D1zg2B)$tq^N3 z5$r&hU@*o?E5ss81UuLz7!0P;3bC3J!3MhogHcmjA(l-AVMZS!H$0d-@!S2*=!!xW z>(BhTIzGY`>o5E%6zgyQQ+LJsGoP0g>+kCmdDWI3?r+?j@$7#6#2Gd27{74Qfyg-YY>n=Uqy(9bIFm!@SMey~(Ws z*#aLp=l~Nt`&ULzc&dA|Np9%Sx*_`g6U4^j23-YkLnXxW+5mhnN{|)ffNGShIf6d1TkK_3MUZjy0;$idAC`Y3R)jEeDA zK_3MUmNDRAtDuhp2g?|0uct+*J&S{7RLREORIAuu2y9TRQw7xF(h-I#kKJ zg9cT}x}yeF$-1KkRmr-e235(rqXt#Ux}yeF$-1KkRmr-e235(rqXt#Ux}yeF$-1Kk zRmr-e235(rqXt#Ux}yeF$-1KkRmr-e235(rqXt#Ux}yeF$-1KkRmr-e235(rqXt#U zx}yeE$-04dt&(*I>ry4_4%Veg)*Y-%m8?5hmnvCTux?ed?qFT2WZl8KRLQ!7b*Ykd z2kTNL>kihXO4c2$OO>oUSeGhUcd#y1vhHAAs$|{4x>U)!gLSErbqDKGCF>5>rApQv ztV@-wJ6M-0S$D85RkH42U8-c=!Maq*x`TD8l642`QYGsKW~yX=_>=%B`{RZqG{#EG)Y-p3wCYUv{{b%Ct6DVUIjjuR3%TLb|iZwYa>#RsB}p zf(YkfWo@*zRoyl}We1&4z#-m#tpSBjrs~x<@Lq~~uiU!E?4~|l@)PbCvPZQGUNySX z(S>e|jxIVQ>*yt{e(06;F)|cdQW%59m12-xd+&{5QW*ZsA_jC?PZ~X+a^Yt{dJS1C zwt>e$wVbB&M>a5}szVD&$Am}t8%sX$`-VO8GHYHX0bj%duaXL^5`T5^^=h+SSdFQk z&0WuvYEP7owUitS@b3!}lT5_(Ju-|GTRn7E=&k;_G?)~o4a&=3c$ zASDgjJGm&|CDQWh^p7mg$i3P?2V4y3op3RryM(F;pshZ6dyDWrxxK4R?=;W3)FJsb zze^mg8>tRTmulGxLY1X_w?b=3YoVgGh7`wO$|YEm88tx+V`La1*pzpwe&SZC@8X)N z)tcE~6=yV$*XDFnvg*Wpf@9McHaqR+ukWFii8D@@Ti0`qprseyn6_D?O!OtJzBkP0 zm3^_+QYe}p{l}NL!4r6pf3jEngzYMVt~c{z<4T%b*Qw}2K~=qzf-3*@$xR&<)W-*MLD>f(6|`ivD0WdDmGu4(t?R6$ z?LV-UlztGnq$ECqqKhSsc2?5Q=xaJFY5NasC8ZxMLrG(um6Qg=^gm2l1kF|-*h)%s z2wc)nJ$^MNw`shylHw-P&f2v72ey*Z55g*GhD%;8p&#IKbhju+T^4{*a&z<@oP4K9 z?i9Q2h@}z|;?|LE+lyQG$hI9_BRU_sEX`6;eZumJN03%wLb%s4AEFmHH1VQfm!tYaLmXMH>L6FP; z_*)d2B_!nDAjofzew-q+goLaGLH^zKKTnZaLPAajK~CK9eu~Tz5^_2Sa$)pEip&xc zawZ7!GmW=WWR{SS`+^`F(kEFmGU3WEHd?>|R*W(f(oKM3+Y|K?GO%n}mv>LAGf z>Vpl6%n}l^9t8OlpPZn`EFmGU34;7T{_q}(%n}mvKoI0Ze=|stSwccy8wB~%|JY5D zSwccy7XlUOky%1Q-Vy})^pE{Vip&xc^41{8 z*FOA7ip&xc^0pwzfBC78P-K>nkhcdxzU5C|qR1>EA@2x+{LtV3C`D!o33+D_z_3)v z6qzL?%{DVJ7ky%1QJ`x0Z;XOY|ky%1QJ{ko1mp=3YMP>;J`B)I- zul@S76qzL?sNM3GrSLcTi)@`wIslOnT(gnUmB*BC~{qd~XospPm?`$Sfftj|M^h;v>5$GD}Fv z*&xW9p4dQ%aXK zBci6l5)$%Q5ainDzetf;LP8!7g8c4(_zXp62?=>32=X6%=Vgk_5)yJL2(q^3lN6aH zB;*r8kSA{a2t{TI3Hf9Y@Xdejo_)hjR&v%o2K5&`Lk3=LJRj(M}ZUY2_bgzHK}t#D{_)?t9bM(+VCE z;=@4@AA9rPq!m0Q#7BZ4UVrcB(+VCE;`aqX{F|Tqy|jXdg!qOah}(bTH`59p5@It5 z;u}8mD`^D}3GvY&i0}XMhtdij65^>Ki2vnVKap1OkPuG?LF7@k#W6D*1d+R9i->1} zAaWOK5pgaEB6ocj5g!YJ$X%92#Ir#VxvQ~=_;?UR?jkHA&Idu{uDv4S8-pNnms}C? zO+gU3E3JrlE(jubffW(Y2SJ2wp>e0TJ|V<8zM$V_#W_CM$;9PuoOaGF@RsqT&30cq z$F5!9JbswF<&JK`wd)&QFCZ>%(1dH(wYcPyYx(D@y2BGjF!JV3y!g%JhyQj%u5`3z zB40#}Vz8T_Of&R$_eQ#zro-?vZkj_jS)fD<*}OBm9kQFh1&eO|+;h?KBfQO?{UNwg zzx%E3uGG&xE4xxBq-I-jr8eEJxr0x{pP!K*&&?HAYSEX9IjNZyz2Zvk*E=lIfy-G$ zyrEUBeQtYg);|n+efh?DI~%wDPWj4V^iow*NqK)iiSm?2H=Jm3ry1U+m14 z+Q$cSN!bU>P|_7wYLDLA-ZNT^bA#^Q{sXy9*$08!lsS#BxKfiNZ1Z%O&1DFS@{S`8 zSL#tq1+4fxnRkm&$vvnQT>wNV8$T*#cR=Pjtw<`oNDxHkF)brTgCH_bX&EsV1d(}2 z%ZTwHh|DuuMoa`jWFFBnVowl6<_Rq$CW9a{4`>;&HwYs0e3lWlAc)N4Sw>6+L1doJ zGGaOiBJ*&T5i>y$nP;<%*cSwmc{Iz2tAZdhPi7ghKL{f8V3rYA2SH?>%QB)K1d(|x z%ZO`&ATm#78F3&8BJ)s|5!VJmWS+?~;<_M+%p+MwTpt9Hc_Pb*8-gG*4`dl}V-Q5< zc`PGt3WCTyj%CEnK@gdzv5a_25Jcu-EF*3Sg2+6JWyGyP5Sd4@jJPcbBJ(7c5w{0H zWFEva;*KDQ%yU>q+!+Lsc?`>lyMiDxPhlBxcMwG8AuJ>A34+KxgJs0MK@gcou#9+X z5Jct)EFi<3SLa=dFx*R}e(zaVsO<9R!hi z+RBLc1VLmTwld=Df*>-_S{d>6K@gcot&DhY5JculDI(dqV&)_?XII%>ZC`VYKxRT0Xa{<8MMJj_m761$mq%zpq0ATPPmBAhl00y^F8EifP7(7O0ur~$( zgR`g%_ND+}@Dr86&IJI2i>M5CJ^&cJLvgW-FroPK40W4OWRrQ*f~I57(0A0L<43uh z;qz6v89rNecQbrPMRqgnk=zW&H}bnMaWO$3zp>TVRhUsN?S2(zOxy4NA_8NfUGhDH zFeo~IW)U~6k}F%yyB8gI8J?M6TxjyE#Ei=u^W`eBs9#HMZVdv<S$c>L++rMbt> z&Ru9OUc7SoadOe@WN(69yohTN85CF{=DQ-4>bxjRVnx+=%Ef`LudO&X2nRE&k2~um zpI7dcd(%91v#Vm_%^9jbnTVV2xeB>wa+xbM+rzU{Z%lt0|D1Vun&~#2zfHG_JR#Vl z-Q!qbdfjGHDAjA_?1S|BC~9Je!NKZoVfTt zyskFc>S}Ir)+F+VI{ozJIg`qpH%c{s;qqf`YlZLz^V9acnMAF5GpSnhHq;b&6Z)iz z3Cr5_tn^7XidOn0nKNC+i`m;k?~@ajoW!)d(kW5>m>?yg_PyCDB_DRFyq2IukMQnr zkm9sg+8yF0Fe0Einc%)_t7A&WWhLo~LdV3_Un|lw%#PW*@#(bNuJJjQH<&et1FUu9 z4_Xst%Z#ng*=B{YY!b67bj(CrG)C8xwTqr zS>=4W*0LmBw{>g&xa_!Tg2;OvYp&^0FVcqAvFHau3zWxC8>>>70t2c) z2DXpBZ$pR-WUU%N6ZxtFCGnPlP&iO3pT!NgA_q~Q9N@O&W#S;_kAqL`KhwWV9K`)` zK<=${z>Hw|1)`)%O?kz=?b2j}>Oul+jZm?c8v*u)b#hO2XM zj#F!YmU7Gzp>b^Di5w@w;P{7M_YTT2ON7R;i6?RlSMA_+9DQt&a?BE;acts=9K#hn zILB{(_rsK9mI#ew6HnwAuI7O`RvdR4g*1Vfz`DpWT-ih9*u2pwERIb)k>gaT9GmwU zg~hRnCvpr|{1EHdyv-;qj!is~W4QW<$gz2sQCJ+Ccp}FLB@iOV=1oRnacts=93xag zh#Z^u7=^{Li6?T5PzWJ%Y~ErN7RM%@$nok>IX3Sw3X5YCPvjV(973#P^9G}^I5zP_ zju9#%M2^k-i^AgA#1lD2D2fm{Hg7Kqi(?Z{nI zl-y<%5~Bo4WOP#)jIwnUz0xQoM#=3+BBPtbV3bYmX$5xZVbv&s5*fWE3`W^(lU87s z9u}hnN@R3P7>qIjm{wq=9u}hnN@R3vsEi(b{K2poB~T)x+d^d&rutzqN}xnWw};B; z`R}iU#VCOi8Ql>oqwrSsED3Q@!p)v}mjj$LcP$HwdLuK^u zuOAMJQ3540x+hddq3;NbQ3540x;IotZ~f$LVKGXeL`H87l~K6wgvBU<5*ghWDx-6M zxFsw`36#j_ZJ{y>AEvMvB~bZZYb&x}&k0+HBhIZu+norEb=p9*-F>+GLTz(Xwi-X$ zm95+8EqQPIVr2Xn_l0`y3lUX+%NHW97v;U}&m$@Ero5!&3sreq@P(Ru-G)qYvQeB; z^dhRNRw?CYri$Y;RYarLN0OOZt=60>)~nM~`C_wFXqF4rp=MSg_uwj-iE_2xEKJQP znW^G^)1|3mLD5MJY8mB_E6VVpaA1zKgxT%sJ7GF(v^FVYjwCIKxXIQ{woml7dW5UX z!u-{_bEbKmw=WAQ&&^+WlSwETY1!oG+vfai8b8r}LwOII;wG}@BpRpL(8 za#&jeK-HyD%#-P*^BHd_T{Uf}Fvm8kQ|G_dkDl#&sQwDxq1JJCHIiRdc}$*H}4fImfXBotXOiJy~4Bx z>N68z$zzTuT3oGIa;J%*e`s~QV#)37$EM|VSax_Op4g99EV(~I)KsDM;}uJ8XFoPA zufyucCZ5=jS1h?Jq4whyOKxXBHZ8Bi>c=LY*pF8%xxXHkod*JpO9m+`mfYE?oT3M? zjbE|k#!_L$k{eb(+KMH2(807~$!!d#6-#bKTe0L0I+#{0xsAcJV#%#&E0)|r2h)lr zcW%0FRHBP9cv~f2NS55Wg9y18Fw+B5Usd-B`a>5otPP#(g^6)>gEK) zn7n^tQ>|DV97xqGVB|WU`6PMhqZ<)ds@KL%r7}H;TSgG7EMKkEs*|u6M@<}#W_Ui5 zp&+r?eEi(S#i8bt7cb8>&!4~2ygYlwu>V!{Bm65V2qiV%^!N%kMiZ-?JPjeBW1q~^ zc!Ha@EBZnC#tK9hwQ+WK;YxFnu!rk7po|ynn7m1;VPwh5M|IjB3&r4Bknd2AL7Ar= z-Wd^|v`GKhUfNLA8fmC%EuT-4hd#MGrswonrcj(I<%>$@k!C%2pjMnjrA#J!GKG30 zi?zI>uZv_F^4W6Zx;H4et?5 ztM$oMJ!T85&Y;awMM3Nh+bd#6tBNqjH>MP+U>iLuK_St;+f$+n6ul%=!0S4#0MXw` zA4~F^c~Cq@Z*uf-5IK5F2$huLQb~~|R1OyvNwOqcsMi#II4(`$_?%DSNV99YjG@fZ zDjC*X`ba`Bf2%EvdfpHVm`TKCf|$ox8qIEsGW*QLB}V!3$tGkiB&lB2c;l;jhy0=!cE&G02*fYGA5C$7(GNRg zm@F1LVwijolwr?4=jhh?u)B5tq)unTnlTgB$V^BcdLb3n2W^Yc+SCJB3vG&ec6j>- zr0=)nmr}R}NJ*kSZJLGO0WAgZ}(BHKva}%Zo+}Ha%8H!SavvNY$5% z$IZNq)hm@3&1~VsbRBgUgJ}v`L^^)PxL)u0IUdm>aNxBdRSzQ79n<}1>=jO81Hf3Q z7s+nuj;JNsAnC`d)kgjPQX@a1=&K`{D~~N2-iXG%f2w{bCsuWA0YqZmMvVGCaYV`s zp^7)Bt;~d7OU&5dn0c8CA}yIF0o(zES(q)d_Q|AIF3c}nf+r`rn$Xy&Bn=yNe1bg` z`(%&&$^0xx1$qT>@t_~s98o^fiV^BVSc3Y$t&Tyn8x$Y? z>}o|nY2BvLZA5qx6(a1owJbW|SQdE~4qxWhr>sSymlSpr+W7W}ef8$DN5blDc+fhD zfRm@+1B_w%6>|;sl^NNPk+mW=WTQ`QHa28_d6XSd{qiXLiOR<(yWHm`T+`c^G^?DR zsyHV^)$hWM2XyegHsnDUe1BmypRuuX?FZiT@`-obmJRhnei&Wu`+KEjgSA=_Pa-f; z=Qqj|^+rDTDtZQc8+x7<#j@UdquSnJg+;5E9O20y39q8wuVUV>;@+hpfJ%KKHn_p8<3uXOKMYizG7tKh564)O+k_uh_2$k2$fJtdnV z>7a6#FA+rK9g_;=83zqUin7w@`-vSPzLAsmIQ^NYDW z#hZ*-#arJ+^U zA#EqeD*etO6<hxRpo#EGjNAy*_*NgOM~o8yk?yh+ihH3*gBH(OMK7 zb}R}Yiu283*98wEF&Wzq5f1MA-NIo5lP1>>!I)dDp04p8Ivp|U8{vv9yr_Q6D(#*A zON&81mNt)A%=_N%=3UW!W2$1>_F5`g-@4C>q?@>ZtLpdgd_m+k*J7r_89VJ_d|REs zMj7;Fd0UH1eQf($*5!dqHX5uC^BtRQU(1NWsgoF)jf;9Jx8f+;I&y_C(O=c7Q`r1x zqG{#Yk1MI~zB%=!Z5}n?UfWvC{ddv|6BZv{ODXq{wU}pBMm-rSrxK*l@9qmbs{*N$qQ2EsACv=9q>-qb0f_`*nmQGNpU^c zesS@%Yd|RoHH%MGf4wC^Mmo(Ja>Thu_sACG$Cus%YNBp>$mD>nxoVAk9?jM7-CPsa zRc8LTXEfZ-C%$^X&`|o-O4D7Pu{*=D->8+b|KoV74It|?pXcF2MyAFN#u{W|)wUXN zYU7&6Ep1=uhib}b74A6EeWnYG)xzf6IStFp@XK&f_2_GPWIS3 z$Mh6A7!Rncv-oJ$F(>{Q#|Yw-L-IZVQ3wXItG#ol6OyoctVNj)!=V{qXV^SGqose)u4=tR z%(C_1t#nI5tUHKNh83~T_Jt5Bl71mDasJfe<+)2tS>cW^QeT{XQ}fE@^YiS3lyXw* z(GPHrc3b#XcQ7>!S~B&~7|2`iHQ4GwvYlXOzlyRijd^3=D|rp$)V1(-6tx)R2lxQM zZrH8k+>``h2cL-o5TE&7Qc^vE z5vvhjRR(oa(4#ILqD~Yof*``~^!wj~{RgVh*_yB9p6<%9Yxlc>+Z(4^(?ukqb^J_L zE29Cc?yg6}oka)IIyq$M#NLJU%bgBAR(4Od3z4+^TVRw56`h*+1 z(eXJA2Ak8eck+!qbY2dTB&->vo%}0kgY&BHwkxYNmwSbR^=Q{s+UOtIS84Sel&R$w zYOHcbIN5fc4+fiybI^SY2irnlv<{Z-WX7qoU&^%8lA4T3BWLNXT5>Z9UmwrlOt zF)X$XTevyx*pY#g+U3MqTD?nsM7l|X9s_&zKbKQ)&)yN_AbW@?$gxyn-Q4sW@U}^C ztruq>wB3}(PrONeSS{r1q{6L}t{h|2&~5hi<7>&nL-4FJ<7On6dc?BlZKLXX7py(Vw4q5}W?T#ua^GMU)t` zfYtCKHT0Jow8X{xNdTSub5r>wdFX|D)M=DTBAXnz@BZ>+OFP&TBv6YP#^9*ke{HWb z`T%h$Bk>C0Qg-c^S7B~W_hY$&D`cn=zGAbBfm3}c_V3@DCTSr5{i1g91{m2mg>{EZc2AQGf`#i)Q zW&`f~$OWMItkTab2nVG;2ONz}VhlK+6AI;)jyIp5P)<7=*0kk1`-Zlf@lF599aNa! zS0KXlz5=EX^3e0!5fx>dZFJ%d!bDAMO-l0zbSHfJ*vY37k3b8GUf>~P496PmM!CO^ zqQh89GK*eCVgzAveej7hi;HCE%4yX$v|>yK2v$UJkbwIoU=UcIF6b3)sH3<+-n8Xr zj%26=E|sjbVW?~Qej(0=`A1YI5$EV%)WHZR0K9PRDgtMOoVHy>KuVglrYF;0^9R(Z z!yRWZ3U{2I)bFbO*-z5GQ7e+ZfhPi|;Gvg(4Y5BOHWTMsz68O9V>5ASA$AQbjdPlP zT*c;l-lT`g^ip8>2pqD{d%K+T9?TI0S8RNQ?j@cW_D3=cv-5L^Cc%yi#|7Qw-M*bY zQ1l`&@?+yl`csczg=11{%Th}3dKAZp(bmx8$q2MlG z63D!=C1-DD;0!5SdK$~JHA_eWr+jNS@eo62WRs-LmVR$p+F|q8Zvd5(lJu0+Cb-3y<)wAOt9l`+1osGwskkqK_SNa8zc-l z4m3C=pM3!ML42cs1V2~chN0m>LozsN^=vShA45?nx_D@ zRU7EsMYmjUZaGs8lVhRane&Yo-E_S965Vb?&0BOcVSu9EB+)&zyrR4RT|dua^W|%m z2bAmi+5`C{dFXHbxoAv(`zIr|(}H}qQd9Kjzop6%`3mbY)|L&^Ae*Sy(TRHY)cLtf zrtcR3Xvm*+2^y3o9QalsVN_>^sS%(#E?h^p=K3S6*@ zMA384l}81ZD8N&b#4jLmgL(7B~u^Wr|61$`*fk3+Dwk1}4!g^*!_4 z=N8wd%}Q4FtsDX8U^WDFS4lLRuVpSkC9OtHDzP}AaVxS|DRvUEJ+0Vk8yu}=gp=6g z8t6dP_PChI+Im2%d|6|FL~2rjHP#nFQQ&8A?DSwr*vksl7<1HeH2vtIdm`k>hkqf> ziEdx8-NNKQ?=yQZi4CdhClKDB?c{Nw6t^M-WaExqBgP=PhM+#yoSr0mV|m}l-m+JH z%GK=eldD>JLd;4HH>)+5KSHx&353Apr9w@ITC89C&V>NQ&>XE zD;aKH>{i`A#k^iu?DM_h=JnO&Z_#qGgj6mq+`OK<`z4weOGtU8!p&>Pg=cAAEFtBU z4mYpgxHd=gVhJg)Ot^X7|HtDrFP4z<>I*lo{~q5@^I{1puT|mZ^{!)Sniosxc|p$i zpcJC8u1rI5YP2uM8PxZO9f`^pH=5X`CottTeT)ZjD zt6Rd&0~cDR6>_Vkn8%iI^Z3OVvuOnnDUYq;=E0*;i{;oBZXUOO^0u^shg6R3;pTzc z?9vLk(oQVLj&SoZbZmG?dF%`~55&$-lK}cs9=pQL!-$}Xhm^nkPhP?5Bf3JNM5P*JS@3 z1lze^7O=5j4ub969}C#n9|ys9?uP|z?1zJ3JNLf=Huk?ku$}u|0UP_>AlT0Rt$>aF zZ4hkdepbN7el`fUbN?z}WB(ci+qqvAu(4kag6-U&3fS162ElgjM+I!`M}uHH_n!hb z_Mbtpo%>Az8~e>5*pB^WPQdQh3j+2r@9m|F^cJ?0BIN2okbL(jLh6Aa`DRgsToVYA z?+r!Bfk2RaD=0#)4Ft(|ej?<$K#+W+Cqk|d1j+YxBIJfZkbD~_LT(HM$#-oc8`Q}K3+!Y9t?}bFj-GLza)~6&~D6mJzeZ8iC%wR{e88$lE|4X!-R`tpC zeWr@oFh>ijhj&%mMiKMh^+g0P+|}~#zbl~kq3QS1;hKhy#sztnYM<$`MIE)x5Y_qY`d@V#dZ%}tQ{nE`xNu?cX!N*OFY$O01(IZKAn5B&`wDD> zy}E;-(%)-d;KNkUHB7&T$r}hMWhNm<2)4f_qs)EeMyP zVkXg$GvQKI%mlXop_YWp*|M;0h~t(SOVH*5wmU>`Wt-H=R9qp-543O#f{@v9FR6|` z=DJC7TW!GiG6*E^LV1fzjHN)ByvK-`(O{UogNT^1V3@o=oDk;SxPFh-9fmqWR|rCE?nLOSxyhNUyyCq_5P|4DL73#7 zMTCq7g5-Tegp37(U==x-JM-B5{^lbhjcDxqqYS zhZM>N;8nIf_ZyOxMRLvEX51+RGi7pt*m$i}RrKD3)>Mt3`}ozLF%>%%JJrLKD#NMB z1))yodH7`3YR#!)y~@Ln%+!g$juxX1%eLDmvBtKT(GuEfRfT_S2#Ob2AsmgRnBsJ|0c7e;uHCu z13)o&-p);)LBKX>LjLw^SAAOS4;d8$bHTQiLb;GxMOe&QrIeqUDvr-o8%0Im+M>PN zX7R9F7WUfE!75tN{_|@0pPO!weyfJX#0KAcc-`Y}Z1>GEgw>6+C-<{k4P`G`oOH_B zFDF@gEp8Ij-ml;6Mr0R~YdnPH0Q=piSSI%{d5C2@Td;s6 za#WflyhY?NyH&_bM6PlZktg)+K3D68^lSbzZk!2Lk%FyV&Y~VMl@nh=DgM0>tETH88wUaX;{L+Y`8w z_^eGxov4jt>2czadX zTWmQ+zoS96aAL(q<{rL&V(*Q$%vIZ5B3(Qs<1Nmgu@MV;20of7_?g88gI0A_22vWU zl1F!}HoJ(eBtS+Ry}GC?M!2kg!$z%(~A z?&mA*yu`zLdy9u5@0J@EjmvSsVjW{BVvJgQmnQANPgtyPR3G0Qd;cxiL>v;2ScJ*K zBO`joGH87yh4yv*i0IzR|6#j1m}%TEAzBcwKo-o@a-MO&{8=XVDgW}n{w#^3Yn~7z z!oX7?)VzNBw!Jhjme9+B{aqyF%r<=etqG%GEFoov8#_WR+1{@_6gM(s2`RH!$eI1_ z`k#*(nX!bF87!DXE!njXe==%h#u8FyiI6i(Kb51Iv4oUaPso`$Lf(ni=E;z)&3S+# zF_YeqGvPj_VkTP1nQ*UDF_TotnQ%W;F_U!2nQ+fkF_TQlnQ&iKF_XTKGvVH=VkWCX z&V>83ikb9>oC)`E6*E~Kawgp8Rm?;WITP*$D`v7L#;dcI_N7L9IN#};EvU~ z=<1gY=IX~6<058nFigJo7BRJ8n0%=%Vy1#&@|CrSnGS}@7t$hTCKx7PH;b5k!7%wU zS;Slw43n>lMNCAu6*_;%p1ph#EMg*>Z4gYp<`pp!y*3CYU*d|GYl30&6|IPg=(Iuj z7U4K`{C9RK!HI*&vvFH7a5vx@-_kzW5X|5luD-CSPlcn1~)5 z1d}fEn(3T&yJ&}?upxIB zSxP5&eH*{`%T1R^%=)|(Zq&L$B_`so3OBqL)k)lV!%N`?dak76#9j)wp{A+!@>aOv z`YC?JjW30p^#O|QR;5I{W#tT_J-qCedmtsU%HpkXv(=Ed!i`onZ3|IlifcD%ZYv5m z+_ThCtembCZmb)bf9pvKI%Z>W_}c&!fnV$&<4J<=85hUZvOIeZn?WsxcSS> zxdj(8^RIC8mzi@*E@b9k;pQ(h=N4Va%)i3TUuMoNyO5cGg`2<3oLhJyGye)Vf0;S9 z^x|Qhe}$W$%!ClGVkZ6-ZvHain|?79{|YyMnebBpF%$m^H-DM%V*xP}{|YyMnecN0 zF%$m^H-DM%g99-W{|YyMnedYYF%$m^H-DM%qXjV&{|YyMneej)F%$m^H-DM%!v`@F z{|YyMnefvHF%$m^H-DM%;|Vbn{|YyMneg)pF%$m^H-DM%0}L?}{|YyMneY=0F%$m^ zH-DM%BMvbW{|YyMnea0YF%$m^H-DM%Ll7|&{|YyMnebB)F%$m^H-DM%V-hhF{|YyM znecOy?i6l*GJ#WfND4Q%D~0(cfG4_BxVd9HSO0>3#=pYN9o)Iv7r^~1+}y#Pt9t?5 zzrxKO+_{<;!2K)S+`*lzcLChL!p$Arxmp*%{VUwu!5yn}@qp8}!p#j^Sd5F9z7=ln zn0)OmV)|CNxnuIBwutFl;pUFXSJon?Z-tvXCSOR4n7$Qm?wEYtEModrxVdBUWwMCr zTjA!8$ydc9rf-FtJ0@QQi09CEj>%WFBBpPJn>!|7 zz>1i@6>jdBe7!1S`c}BPWAf#xi0ND5=8nl%qavnng_}DjUwn#~z7=lnn0&1%V)|CN zxnuIBrHJWU;pUFXSCS&8Z-tvXCSN#qqi}PB#4@p(6Qg24`%vDPF};>+rzdXnEa9%pJVXi0IC;7EdPk zIHKRq8AClf$|N!tadna+S6O)J=y<(&D9UUKN>I5W%`Ti;6jeKJtsu5HU?dX3o!RU( zG$&D!HW0};Z+-BDd7P?U0V8!jAt%9cx)0&X>Wyrp$ijUb;l5LfzMt3rc9(7;`F2^K zss28r+NPsCH*o7KJD*W>h~Cj^;3&~KjgL$6BC4O*(r?KNsF5dYSu-B6qOXdonS*fI zJovcIyhEpq{QC4zg|qFkmm6uwE3im**L@)EEM5mlqkq_*UMu z-UVUQ_7N%InK`x~ImOrpiI;SB3;ktQQ!8Xv&meKrNU+eU|uQ-P7_)wZ2frdUpK+OE?IU13V8@y3ko&J|S|a@?;{DuU2Z+$>L;#Oh{vQ ztheNj)RhIX!9=vJ_X-5BTDjt4=d&RA)w}iLd4s?zv8&CGK|@84*GP0VnxWX2cqqOW z3~(t721HR#3|*`;pv~RO$ZeUtvVesTo4h>&2_O?{M&TajOht$EDkHGlm(emYG8k|v zBP#=$Pw(X2xE*n<6<4fMJ;WTTSAlgl=?y4$?Or6wk`2gW{PVk>X`f zNUQv~J|?d6rJ*BCrr*1>?Je?5g}BeB7Z$0svaKzc$Rw{#G2wo(BB5wK&q`ZU5!aM% zEwbiwEOr;7q(w-7&N~+N?Bom<$0Pf?!`~2j1u+(QP=%| z^$C$s)x(m6O00zQH4XG}%*BT1xl8BUomp0_Ajs~EVX{?vBF-M<>l6GTNK-x{ zg>Q@%z)@*@_2?5;PkAls1%wj{-p3z9pwX_uZJkt zDi0{v%e4pcN%GM1YgE0e+ir(w)bm4%Uec1*6*kz>OLypPL;Ndp;fDRvknQx+kR$d> zKisce89HQKtvCAAW<}L{aH7v|1dOYxuQ>0++fMH-k;t}B>XQjX88~@>vDLdE}d0O>+<1e#^SkbWhmL4C>1jI z;S6?gcxXgP)aui@CjOUfPL~R5vYF3km4Vxv`Kh{+oXSm)t=^=qf36peG5SUPFQU9H zp+wa|+_m$xa-Fs#d@Y zCdaCJT?EedPG_g2IvzP<>unn9xKOO=36)4pmPs`x^u5<1~QKs*rk7)i*~J z<(=EnAyp-+Agw64AZnws3yDGHk!C%2V7ycvG<(rNZxiB5xvr(qQIlXvY8@v_nqQVi zf8Jv08FVfWmf}v9z(}H$ACgAQ@SweJQ0b&@vS*MvxGmGC&aujf)Y@O74IAmyISINagC}PNZCYDnGEbb|2E$`hT=uD$L-< zzKC+YFjgOWDM9`jP7)e@>5!Vz^G(~NNIDL#Cx2KMG)1_}zQ4y(6bUmpRX{&+W#P$@ z=2MqWEi?z4=Y=zy)|BGujxfF3F$bHAN7yOP>#ZBvM;te@=gRe3)6GIBtxR63r=CgZ z<1y>|yB*(WYqfg4S(~cn<#;*_H?34Yn@lf#fyq+Knru@O_BnD=$)EQ6{`Aj25tl#Je1E#MDItHF z^8M+*eAxDB+V`hQvPaG}PdQvS3o^(Qy* zE8p5k3`5im%W|`rD-9`O$lhU~aM{~xN*VG!`3yBJX{)xXWe}P=9do$1n5sB+%V`0C zR(4s>NU4rvY;CVO3AeNC?_;8|l-|W*h0#&So3w)6Qla>C?_;8|l-|W*h0#&So3w)6Qla z>C?_;npwCNM0M-Sb{m)fzrA+>Z0jiV#YdJO^3fxW=VR=B@Rncyc>w5eQVwNAouU= zew?#R8f$s_hPK99p1z?K*3z_+ar%bV zv$f@%p{4yYWB55|Xz|X%sdvaAD`RUBq^2`_wd;zy7jn+fRwwvUv-BEX!A$T&6`$r4@60D8hVBAaU9XPt`#Wufyiy>8MDBR!9YjaIHHG&WVH@&B#K>H?5=|A2 z9dmF}!>v-~);N5yWMnScoLBOBk#co2ZR%4!66x&jl&ScHcAbywYK>ct_SI{ zwqbrnT~0m^foaEf%$&s6=F@cIC>+f(ajL*UM~&RMST>dj2V(UP8nLx2*hzl6#%BA1 zDdSa2GL6Fp-gcXtvb{!}VG6|=lww&ps$>>qu9qv0QDicMfm9|F@R_oqL|Qf-0b~1hI0`3Gf0@N zdSvCUO^9qjyseF~u1Oo+R;vGTDn6&v9BeXBJs_BJxt4$|Mx@CTH5WKQu7 z45wjcgef7DzKrA=%E z@YeuzJy|lG$>RW&x5b7-IBjgCGTg7`Dokn{x!Fyio%x3gxrBe@mP@H(xJcI5T8MN9N>(da9U=wqVI)CtcUs8kvhUD`#MyMH(TsH<^u@&e+{EUCEV?43AtN8?W0HtKkg5!!*x`|ip2r=re5+X=jck`D)ZIs**n zwdjaw+cs*F(;ys~i#DdyiZ({-NBgR67Vj}tWEF3l6YWO3;9zN`0reP}ORp1Mv6@m2 zR#WQgBWeOl*|&SOmRV%A^Fub#%xu{cueOLDIQoi>t}W&RXGI5Y)`2-4c&85Bq64?; zz->BkyAIr;12~>2a(=fCyhjJ_)PX2kz5>`*q+O zI`B;$_?8ZQTL=DL2mV0^zM})r>A-h&;CnjoeI0mS2S$X6vD>cEfj`iJYjvQg1EV@H zrUQEcQ0qopsm;t9TGP51hD7U9_wgysTI=p=l7>X%Ho{bCM_TXH^Z!E~xJw7#s{_Y$ z;C(u9w+`F`fVkR34uXlPP$05UJlV4;uHVN+_}r7kdJUg3%OV!8Yfsuj+8pNev+I ztxXJCEMUK@N;8iV{UVdE3LUc9{uY4dF*%R{{tS0$)Z{Vg16X7OK17tlY4lV!?(OsA zEhy$a&kiRt-#?gxy>(}zYB3i}G3RD2Qlk?RsAjf)VP*;rl~$vz93hXKnn@NFH5WHiS>!yFipKi2`g53hH<=3o z<sN#wuu{8IGDoU5_l9PZia0HkL+l`IxCaPLLM(lJ~^2Xm3XhFCcj1cQ;FR%u{^q za%_0yTil3CWn+1~Z{p2j|3d^#wQ`5|PnRaAy7u5zm1P*0k<^F$Zr^GEMrg&w#&`0M`1Ub*mVp7Y*uK*W&(#luQW zBO={4|9KNF9=94!#0ud^IyRUGgd>>l1eReAj_;dEOib+^n}GV^v>CJ84ZK>KR;Q=- zm1bsY{Q%l3-$KO(-8n-bo-fJfrD^rIjXHk4iYBib< zy*!eJCg8X8k|Ssou`HiY;yYzgO2pi`etf&FKZnBU%f!~|qN)w2tjTN2V$rImy;UxC z77RA+U^CPD+8X9}4|PL!CJlS>11()I9l1F6UsczuNB;C@&zzoy$!O>W3fADx>@R1h zCJj}guo3tj3#)%=wMUAc?;a{m9-cuzfTY51lcZvk*Nsn>7WBkgIo-A7+5`XnV}F-= z-)9EHdD8;@fY<<`!Zuk;$_Hahd0*bFuDYn zUon4H|yVrvu z-CA%qwzn9gjxieHRV$l^hOr9%=K!rtc}=9&0Sb|@=6ytZ^3`_`>G{=M^{zxZByy!$ z6zJJWFO<+23iKf}t9ZAx*7k2ih;TpEo^&xLC{(Xf;BXp>s;$;bsXIK+$EQ1r8crUhMmAyLR6dR`FG;Jc8h!ytND z5N$_K+SKA!rjM3qEtUy|F_5&;167A?1dYzOkx_**s+=HS$(L#>WTFM}W+&c7qQNQL zXs@wCHt&V-BruHABfz}t5Yio9vJQM8)`vR&8mRB)nMO6Eq_wQ)vW;%ZZj&VMuJRJ| z2^3m-f8SM0FM6L6iXZ!Lzqp2>OI(eTr)Ta4bjf>=n>2+{xi$B;Bv~>hrCM*MQL=pMwVI#qac+k{ z!F^Boh;U56rFJ-!%y%_tqf#=>mfUQn+^S#gDfSOxb^#xnxsi80Je=59@%LJ#?QV6O zd4~lwEORlhgtNMn$IH>>s@WS6U&y8DZEU;-UagjdwSH3=;}gPdMm(fU9#k~}HLoSy zNRsGZy3E3x-Nc*HpNkdw$A>H&R?)D7QX||#qfq9mBpzC2Ghu8lf8YHpZP=O6+XF&l z%{Ti{wh4!$O86SM71!uGxqW||71!iq_`M`M*`cW#AJYD1@@4g~rkzb=pet4Uu7zt;i!>MQ?$s4yC zk}j0aW8P&3$IMgt@UGR^>p~+}u{KX-%}j&9E;neU22bUWKKLW3IfzYYyQi}BsjtUJ*?-iGXlM$T6v#`J^r&!LPJkY_+=gz zSiQa$zudz<8i5nY=}kJfg5QK<)%BGwcH*9IT5+pf%>S9sS#hgf?7 z+vH-;eBg2`ZnKNw)&(nWi;Fq_>!nuQ`7VZ=6s)+d;(LD zPHh*xyv;Ne+Gr^J@@_QpfO%)f{%^-ZlB9QCl?3DEUmp3nbkfM6#~j-T4|2_)Nfje@ zyEztP=+CY>Zi+qM9NX+-m%nMw6uaIW+u~w3UA9;1Gjd*Gj>U^7)YAh-Y`ZxYV=eXD zYOK>7D~aA(jdgg}R_lxLmp$BW<_39eKr`O~_qq8=e{8OxR7=EkWyV}%QmGo}zx1zI>majFU zOnucge;XRu=H0chvkGthOuFhWYj3jYwS0YD>GV9>HCC??D^`4xfv$2IE~5kM9Y*Wv zE~DNP_M75#=dl`R>H>xvsmDgl>SQ>Sbz=?JvTm&5WY&!}+)X`pgSlM8@vIwbxS(}o z4QI3(Yw7}qTWWLxQ>5Xa8j&jv38QDhMi~+5(q(3gjwNWV?ZSIZ?rPQO!N{BJUQpAI z^Nj4xo~zFIes4A2?88>${YH9=H(Qs$?AO*MFnhRl3CzB3T>`V$TbID>|JEfid%|@I zT0NCte@YQvu1>JqYm$THsoePe3r|7d^i=+Li|-T!E>C4D@EaO9sw0b~2)C#5&wE}x z1%by?x$fq#oPuD9r}DyodHfUvZJtW;YY$opg63+rdn(`g)h(wW@Omo4n+~0VV5z6_ z-**o#B&ZI;lFw7=pS^+zV19L32Tg;pw9Hfa@`GDWMX}sdNqo^=Lt!#ND_oT?{Nxvw z5=^lxU6m`Bf6o-V%4{Z9xhj9L^Gl1xu69+rulx97v1?qFPrUd3#bV*n3ol=)(u~_)~xcP3eSa|+oCQ-Ls%mHx2-C}7m8~9r4`o&@~FThQ9i^XDw zAZ9Cd%f(zl%voea58Y&WaDVd!Y}em3Agh*zSc+n4Z^J z@WK+_Hp@tkofWa6dOB{HgTC(g@9u_aD|dQ7u((o9`Wjv}*3=O&PZ2LS8oFmv$`*^q zld17|bUB@KdB~e!#u&NZJW!u=d1zCEN#|T1eRV@R=kl1HxN|O#SsMR;b$OCcT3nt+ za(Pz&#SfmnqWseC$JPBOe*K$A9(9Y8AB*gjY6t3Yx=MF<1m>(bJE?`vj$lnYw)c8A zQDX~T9g)-Pb97#F`Nxd!dh0PV|(!A!6P+jY!CkSrimIfwg-2-Z?p!D?ZLM^ zT&O`~d+>=rPu8HZJ^0JN*-?YW_F%^k12t&u4o?4aa}64sgO5q8YS1e@?0>Cy*PvH= z*hL}!-h`!zS9#c*62GX~Mqceh3N-NWwq@b((? zg&y{$Ppq#&U*utpPkU?77kgObx#k-5B_2lK8D7}AFZHm`HU6{)9q_Q0y7I#HZ#L*w{Yf;Dd!a>|v|lyt0NmVw{JtP%9pG|NF)3sOGa4QRA3|g*xV8 z-p{{8>z5XE+&Dd9K_@)yt{**HL!C4ZRamG~9wsgQ^BU@1##svs^==Ql?ed3esME#~ z3=4I}!y5L#tA;vjoXoIL_j}me?|gF&wQ3yLuuu04=_(id(a^6fsH^$m z5DRL=#SXmVhZfW|{J4k(^#?BY!~gt@1$8YyKw?1^UF_Ot|I~sSZYOKypMiTHU+CUzS-rvj zeY&yL;v3`Bzd~fM?ck&Pc-=-Xp^lgSg89Ub)J#oszxug<{PE!Xl6UNG8GZ7Lp-*YP zw{{ADv6c}tFz>2r(}-z@et7?^%+110mSd@SLx8`*rL%eljaYNg)tciQ+?Kl+nXBm) zc`kxm)_dQ#`ni;1JcsZ1 z(dPj1)inn0^!FJVz9XZ(@=M=_*igO9*j4%Lz;^Q)>L#0W-e#h(xlVrk@@>^uvPQh1 zsMx%lj6CR@7+2i33Eq)@)_s6z`Ybd!hIaRF*Q0EmCP6fqy>6`L)99*f`q)Ygu{uo; z&23MbNt#@hoA>(g-nmY4DUnpnB+agq|NMkUYBoqV5lNq^N)lcKzVFkL)KY!*0~7&z z*Hxd$JDB9C#^TF0)B-_o*x@|m7HH5~06Tr>83i#HyWuf>iq3csfY%1^<7$lHLqF9x zura^>?&=liWzq_KH9m)r?clT^-1LW*5-w=Li7)27`&L^q*PpS^-&(QV^ZqyCYtyO{ zPqg3u4x965D`-ZEAr*$|7PEy=S66p1T#P59J1(t8YoyWA%)aUI@+@-QSr{LiJT&Id zBg=`BAKzW{^DmhBGgJFZexB05O~yCHj|77qUH)Ll-g5Z@ElYDY(vHOR)Zwy!eE$_& z!~16O5kY?e9~GRuZtG5|bw_e)di*U@le1$JB5o(;xZ~0qw1qT2jTGwC^uB{TgFR+q zQ-mc!WNhE{f?n=2(^v5p^8C@sed^(fnbOV_z-(k{ zdVgu!N^Qbh=yT)O9ju$c9Dx)=rRmx6eTERJMRr`e0BIsK$w>}^ZJpADH&IumBnPXs zRji4$Dk4Zu&$WtNK&ea3OsLCD#}%f^Re{waO*uxUW@o1k)e>9qpifQS0BJ?XX2;a2 ziLvSN*`qt-V`%Ihm)40f=!a%VDUe`%dSL=HH$8if>sYh`L;3W{+AEn-GU zJ1*s22>rR*m7?P_<%zMQ{?z1bY5Ks}z7p?FMyPPKT-u4gVMTD>GFBz7=$d;E1+n^jAn}n%J?cWI%v4l}3!b1?-;W3Iww*P zi7$~JfS`tEhDy42Aj5?*T9H#Xs#7ZXaDA`Rl|rpbpFF9&h; z(nQi}HP#>BS(rWybtef~*wdpIOM0qwdU>iWhi6lhDo*6AzG?hX{U7QrKP=luao?8CvxDK#N+;uBKd>8en36l ze!15lkadC{lwyj}(P4p(cKN%*{_b9XcZa`6p?|$We{Z+HH$u-IWEb#vck78F)kHCW zchuh_^VG;7;_pUk+24a~Iw?_4P*0>(6GbUecaOg(?Ccr_79iY-Pi@OmO@ItVoi-s|UX#_NgXGfAYilM?m^ z;^Lp4oz}33iNySoPJcM+4|n;)0p509{$4Z&S_qN`lOa7(uiycR;xW>et}cHZ{{#>r zAY?S0R!QKvo^e$ZN>|k>O#^}Ml#!Yj(;0$qBp^~z$sg$S%g{$;)ZN2F!Cnb!EZi+l zh^U}ZZU>T3IkKNrhoGQe$At{2!+<&ssLO!54XDR}dJQOSKoJ8{3@B=it^q-#w2)C+ z$S5sjlom2d3mK(_jM73zX(6MukWpI5XswXZS|OvgLPi^f3|=}6UOEh3It*Sq3|=}6 zUOEh3;Ld9r)?x6{Veryn@X}%M(qZt@VQ5Z=!BvORG93ngod$pCDq3ls27l-@n$7O) zFrZHKQmzM`&>YeFojraS=rsW6QsX;U*i2TSB zatKymhYYTIj26Vuq{q@&C{lD85DgjvXJ{Bk!(K6B!(jIcUV0)1oxv4ZbS_g;0i;Q9&3~vHcAT{rG<^s!bWKr@$|GtXF%MHF2(B2m9AS^;CLEc7LU))#3Nw7y8I$a-1@Iw^EbfgT8rRiFo=z6yqC zAp-?{M$4sJA21bT3{YTc1TPq&WicWuMlGX;45Ee%qDE}YX#JSc`Y}V!F{AZkM(f86 z`xi6pU(B$7F+)3JhW(2f_Ah4GznEeFVut;T8TKz`*uS`8|Kf)IiyQVYZrJCzVV~m$ zfACQ>iy4pT){%S$A@M2>K0}X?AAAmNG!4KC2-(Z%tD=`-#O{u8J)qH9w66?0frDqK zwHwAa&8florb9sk3K>v`0l{XA{4l=hkkQf@-{QhHVSI}V4a4{r7d&HpiwpiRzQu&) z$M_Z#Tw#2Ri4+*$VnT<4&=Mg7jAdGB7|V3XC>LXyj-yEeuQ|pt9U{k1;1mM_2SUd& zmPJKr7|SAp4r5tZ^ev2Ky&42v(;#?38q@&~ljj^nyJ=&Y!3#z(tz3*?I%M#I5lqKL z4Tzjpo?mBNhcJT0MHyX?i|B6{!D2#^T_Jx|NUf{GuLuq>f+<33aDO9Oif(^I(kaDjDn>GD+04v1EC%o->1!3IWd+$ z7L7Bf%g>g|Gg$4UwH}=lfiqL7xWXwiHhF!%G*Q|&yHoBoQ7?#Fm`okc#HYnH`RL9r zTJA6xMTspG=H$`Rfw99AvpZ=e)?iLYnhEvggPk2_N&^d0l*89XNKDzohxTIO6XL9c zT|i4~DHA(`3upz_M7obRojFU>EmvX=-Jx3eChzW3#vthsYO?L`sG3 z;F%oTJAq|$zEWaBAeu6y>~JGc`Y=|q$7mrU$i-VP#++j8NHs-B?(OX+x#@|_k=2zq zR;I=Oz4Oky?>l(=-V^QESa_(hd~kH$dGENBwUzPz7!c!Q^X_}6N5|OhduMOoJ32P9 zbnlIlW0c`B#%R+)Y%TcIR6=QR5Q1{jk*ZP$nNSJ~DI?8lI-%Gd1ugx&=kEK)Z>NyX z82E7B`zDRCyW&A>ca?OmC=Ys*qeLn`M!- zqS{20He#1fw5igJ9i*UL>>Qz`kGpL14x7-Whu%}N|()Uz_&@O*SGrmTa{#Vh~d8CRNR|!G}ydJ2d*qhrqa=9YzSAG5WSnOLo9}e z^0U*Wu|q|=e-t?EDD0a$bjYt@hjV(&uSfgot~P&k8peN`c`s|^TmRug3RXQ8#bq}5 zvP&#mq#Vyj2}Q~l_alaJlb&9R2;y?&RNUu`l65?xD7f1fa4Oq?+r+bBUvvN$>*4xp zvZdaLx`r+-!*<2K60T&zwKoT_cf3x+P~cm1jyKdu#(y)`f#5Y~)O2#%IT`<8BOMkxq|& zOD3An=SnlPQ`04EQiH9*nGy}t1r(JyKpQ_g_a6q9ptpJY{V9hSJpGS^Y*iKW;dI6e7cls1a!K<@T|OiK!c-(}%)) zr*0_GPIMVr<90VlH5^c2sc|fbuwTfywv1A zbdi}-e0%~MSdklXY5}hUQSG9o1u@Q0h(3K;7@NMXG#fcQb5z|o%cMb4%>3A)@wMrP-meiNm$ zvpd{%L|pVY0RfV)hgPX-V@gRNj83L$HSRIwnDh1eV;6T~FMR33ltv+%UdxCCAUcbp zD$`>#2cw4%m62h(u~EGJT&H1BwMDw#B25O(7cZ4F{!LNtLyF6nhQer`9s2i}^q0G9 zZNTD1_P17VNFy0)waJW6kL??qnMGAly3nKkSVmaCXsKM9+|T<6^KQ_iMfa#f0cFr~ z%}vdY!CD|wd^12VNnGz_<914jGIj(yHaRwdZ%=Wz1DD{^Ewkp^ZRL*In{qQvFt9N* zRix%VU0+W|N#H`Ng1S3_QG?&QUM?t^Fb>QR4rja>h0{hD?kq)&%Dz3}z!^d|{(iOR_wwF=c zx`5Mm2i!wMIc+^Ua2o)f-{t-BEM1XH{KAvGUwD#^1g1F5=f$b!M8mxEo`aI3JWjZg zilPPrWHZ=OY4gaJ2V`u&=kPvh4=9Kp|UB#B3wpfsQy;c|q_5iUnK zRmF-M>ytn)6FnYjg3^FMPY;3!2YT7hDw~_tD2n3D1;N}Xn7hL^a`Ub>Fc-A5zUBcX zBKrsM+LeDGtO5$FK?DiPb0|+xK8Ru)2VzRhKcHY`aUidNK96-#285bItOF5I3Q&ax z)SUR?b;mvRu{@{DDf}lbe&h`3gY*bxkON(3&r{_oB2N){9w7~+5&=IRs1cPjRPYZB z6~M(%f%s}0C{Uol1JVFI7UY;jf#6t)B1igFvbgE(m){{6sbCpYCi-z0fB~FkgkIZG?!0OA-Q~l z7m^#~bKa~#;^8AkqQ|B<5hEc&_=`jK~?jqIpo6?wHu5vHj3Fa6qOk3wljZTfl4X4 z6lq8<5J8U!Kyf2kQnbE6TEV_TTA|+M&!@T8N$~U$thgGL{4f#uQ{f@X0=u_L4pFHz za!C!+BaK{A)F)Ge)G;d!c3c~drPe&}rs)`E>O90Ax(X*zIkV_@NJna1mT;vv6{J#^ zKnc_zz!LR{vh+?;VN{irxGWtfHAZHn$fyeGc$tv`qruSw07t_pg=;VZP;W$_SCpk0 z>KA3{Pe{R0QPOv`4=Fd%p+H6*bKYe>Buivqj8XTaH0XO}>N=yx*S~dC>SH4tZHGzg z=3DQfA8E5hNQHP=! zJf%kJb{t)yz6Z!=W7K(l{Y|+Tjue$4o51K>N9ncKUxQ%^mJiavSY|Xbmd9ym1dMU8 ze2@mmGNaM4JWj(SV8Zh}-Zo05H)?qx|JcI(pET!>W}%Uk=T9)kO`bns8clir1QXtr zKM9qBj#waF0MkoGeY>3*LX^o;k=z?VLa>kq4fSX>QOWP4mXrbSlVqOCWWbOJtoJc4 zhF^o?ZD=0{=qR#Gwhu8*jt5<1Ghzr?wvl}Ti?E9P33dgB=S&1vC zCCPh9lJ|l~(4Qpl1rLJ2D<1F?@Pk1U5AqmXN%CZp!izHLczc9O!`X-+E9A<9!h-S`g;w2ev4 z*-1tPyX5mEhoazbC@TCInH=9oA=Q^js>>8r4v-&{4xlD@pfv(uJsl{J8?-SUh-45* zXAqFm0X2tT3KK0f0S~HmIzX)$fI!m3IUW=g!bf&d1cu-};AamF&5g*tfB-x$B%()* z9zzK{qHv=KL2y4kRC=V~&r$g?@;#9}ieI2HnBze*qAaO>ke`AFHlFbyCa~R{4q!aT zgMv^FJg7}TN#3;!B~UDs2oF-1AP8bam8AzcJsl{Ja)pnCR1`;U9e%RZs^BVzM^dnq z%WF)OAFKQ5u_mB zT}l>c!qWEvgbSh(X`;YbA9qb7PGpa%0=cHJ4Y?GlTaJW-2X{?L56Lw}9TkWHcMao_ zMq88WDna_)qw>bBJlBwb;{e1>iBOP!(}~!C;!g-?z8RLBsL6$;qA>l$Fe<}mML`&Q z2?7roaVbbGB3xMPh36^MM=q?ep;(xJ-Tx!I1m}7+D$rq9WXxex^vKd9PY)UjWSU(( zwwyWrAce7&JM9TIfG$#TVZ2Zyf{11j&#q+70Eqk`>H?8WL=HznP6O~KWY8w$Y{c)2 z?}iI4TU==J2B~q%(pEB+WgA)ZvLurgrvN#Y-y=f~50y-LSxS>Bhu=oVyezFGTV9qP zBWqrk?j+j{zl^Lm97{6YWvPRVHzk1C7C#6rC&Mj%5b7ekEq)McB4b^aB1!JY&yle% zO9%0TxIwbj^utTAlf8yBP8J(ZAK7fUi)6LoJd@EDKM1vv%`P*t*kvg|HXB|MnQXX> zWU}E6;|DcgMi!gmV6Y(o?yzqpi;cuE*=0tC87?ImI402hz6m}>FAC}Cq z_(8#|$s`kD4uKZ@pk^>6#2WnoX(!7Jw#hIP;Sl^+FIncYw1o^a6-lmq6B1)8L&mu* zoloYO(?!WKCj-rY`tbt>RQxO>^IVo-KBM?~2U%y%0p=2vfTloYWQjUE$Uuu9Adx%{ zen0}ZhW`Kw?x^u$oynm_C>JS9*PtMf?8Fa9uEY;WHh=`;oW~DlRLDBdYeg#d1tg16 zb!cvICz)%`S1ebSwv)l;`74k#4SAvzMEPmB0S=~`q}vc{Milkp~70NY)bRv^>`&JpUe&Z!ugeFzO>G>ML$Wd6%!%TF<{;?erF zXNflLNjWjEGRzhkXzrpvuesNbsc`fuShkT7FS~BU9~u2J z<6$!UJhzumlEd#}b~~f|fYv5#GR?*0)0wczqdMo737ae?Y$zKOwmup&$~0j^7`{Kk zP*57xXu`&0NI_`ACeRfcX+R(*Y_gcJ$r4S5WX`xTVZ+3UrU9^1^c<&&0AP9o4`T~F zp1^aMo;(lBgpKmY+{Bu{HenO_gGHJ*@caqJ%z@|6@u&*r&+&Mg^4BJ8vLhyo30t2p z;lhLs!lCioG+`6f_S3|sOj91JWOc#@o6OsdhIM1YMiVucn5fA#QKK|96EztHAq{eS zQfLJQH7%ei9ANSu_!BV4aBqX=OqjgMG zZHaVtw`D6psqRON%!OM;yr9jgv8XY{CNbl_UhXbbiub85QhtQReDXE2qSYBBS};w zks89$yehItVxNO{v;)C-s9KScxwI!2$La_dkkmlJ8sy zn5o6IOfNLa)yVjHvNSt7FtD6OWvnbs!wv#^EuQ~-9QoNKo@%PW}V$9>~0)b5ko=P0)N zca+sdy77|g>;b%GdP!VnJfpU)&Zk$|L`Gkzo2D+(lu;0}jy|WP2lMsnAhn4Kq)in_ zpaQNH&L^D2ujH>=KwYFe9a1I^V^eNPP9RwTeN}cXpE>xVnqu|!wz|qgyuj6v;yAYXLgv+SE){mNWVTSyQhy%<;N#* zI3{q3f>znxBsq`Sr2WXpX385jiT|B>-jG-tdEc?r`3km4Et%UH>!aMyJo6CqW{~-h zmpadHK<2oAT_`1qrSadKyU|8+y*9E{k1w6|Hj>X`8zJwr*G9Y$W7;_8JM9pT$N(?nWbp>Nb-7ci2dwv)D+;`|LFmGCzxrgxsl-cCSKD z>ZsdDjSCy8f4LZv;&0@Tl$e^>F9xL48$2LsIirDgA?KIu&Ue#@VIJ$wcsSCsM)S}p z`dpJU-S~zLMq1uR<8;<-oTlGd$d~@t)IFw{e<(XN@C9 zptI39V*EKPjUz^%v(q@**uxvAyKdvO{LUIjj67$fam2WDRvJf)I%lVGv@vHFj<{rv zN$tWJb3CTci0Ylo3>>A&YIT0BG$z!%G5cOPL5|cM0Hs+MEsg{`A{|;en8LRVU}r~Y zi?(u7b9_}hE80PmcZWDwxk>W#Re`<=rZi17dAHeCVXDS~HPuEP`WnZSfq6Qr0Nx>(l3%O5T(yoOYhN3zf1>WCN?%}Y^=3= zTkJNQvxm5_Np|OD0%&%|z|OjSIHxTWaBe~Yxw?$dmUUfI%twkNb1~O&B&waZ&4)9A z-axM=Pe(C77;)!f*;pbRpvI(CHmQLQ#L{|Jwfp(P5Na;39aHUZmaf0kPbX_pAB@b5 ztzc{w+XP@Iqe3sC9M~cxmoFf}l-Wypm~z=+BGJ}Y+C*&}iCn$_XDHm5k7Y5fAcj45 zS|Q6Td5D7+=8RRcP{6dTf(jU`ZDCrWxFns5YMP|dS>r&oDm(|`A~v71h+lSs0=_Nb zXf(&>-S^~&GP`Uiu-|yh{!rVzhliyGLa6qUN;ZS-b0U>|BR8K%A-kHw8@G5P1t(Hc za+V%>dZhin)x}II>TqQ7WM!ft=mb65GL2g6MH|D3Y{5|sqjXU>YQwHT2qCIbHMF2D z2UAffBUag@CBEixG?Hi?nage%#8DKJh=NgCSrSsD?yVLSWiXcyVB+S)L28hSa@BOy zyV};iLh{XtwqoP13WsQg18Y;N;%&j_7F+Qx#9}lb^+sD7=o_AUCvUy}mA*UTpN(I8 z$GbO z&&FI&KZ`dd+ztG5l1|&J&f9iS%2pdaC7UnnM%kIh<8e1?UML3WrL_;;e~=M8pLE{C zap3C17kqE!4fpL2HUmDdqVyLU$_KGATPTkw^YOILsVGBfCf0!9>IB5({0#3RaGuISlhj%3%>L5OtE?IJv{z| zSh5ZUI@m)lBY^cyqN2_x^pf|fu*o2aHC03^VNK=qHCR}d_IAl5)@?^698trlfwu%Y z2J;a^1M`7l$?hn=E=8n_gVLq2@~yUqp{)Q`+1?@y?kZa|7SMoMV{_<0D}bY>^*!Ix zsG14b$_Gg#w^9F)<}D(3;s`(%f<#j|0H)fvNm~{%dly=4T3g$-*OHMOsU*|b(qK53 zz2e$yx!oj$t$}jO^hafzFR@H0b-%BUQYTtTsRGp1ZhhgBul^(Za`MAXmwe~$o09K8 zzPsg2lzLr_QU~$=0Sb{}1}V?x@}%qA=d*N?s7=}6NJKi z+m%ZWVwIi@pfBsxEQ_;FBP35z({!~FcunQ`2(PJZJDw6XCELP{PH=ub)ih|TskN$G zH3CyrPp__6&ORSqTl6JV;7t1x%)hlS9Y?1^hcR2BY`Z3@_BxW<)j~Do>iW-rJM`Oc zq>d<$9>3tocmBt&uN}_{alB3&Y_Cz*WtxK8PDp-}M#dcTrqXLh0IhV=NZuGBF#?42 z5rFiOH(-Yt0Xp~yuxRNal-_G9-O##}+oX00mzV2Z+eYZ!CJr|G0opluCu!qN9K49I z6%O7)Sc!v=6Lu8`?2e}e&R=9Un%S6dX|X?Fu$SKit4W4A zhtSBa+2+F40n9NB7cdyncFvHraCOwXv+>$X?X~pb=7*fW^uVD6KySh2ha5%hmr6cIp@1zOM9|L(3J@kpz9)V- zCJ0Pkm4bxIqjU;U?kcP02lWqH`H@j`xPpt+zr$L?PHV8s8tkqqFN6Ar>X!G~^*`N; zb5T_5Eu>bz`bn@8)O1G7&%#hiQ}uD$fOhqGbBtP>gV+&LH@5>eDQX&mqpA$mZ3xbZ zWu_P=?X5P%@062}wze;1qG&QPnkbyf=l_i|kxoN7<84qDZ5d8*(yK2Zh|bdA(rQ(> z*Vj9(XUB`BW-#z#4vbVds>ma^#w5r=Tk*2W zL2D5~TxIFbB`B(Pi{rtU^c_VW{=sH>dhrJn2npyfe8fopgmV~ zPMqo3AtdQ>TG>ViJ53hrX;VE(QZMVU_IJGcYw2Z5e{7Fn=Qr*ecIx!^CJ-$!yz2?| z8vT7M7_oHs-cG>?H7OZyVX!e`I6+zytQz3 zuT?Ab)j6RQZ4H>lz{qB>dQdgCm(=#E(-Xe0j_|Fj5K+?TRM{5r)oa$)i1zNdwBNyd z3?F{asK?Y(N2@2`NDgO{aB_yTX-r&WE&vv72%FBS`IhmcPk2L)Cpv+zMV*kAh(#E< z3;6Druh+ELrp+k%!4m6Q+c6toYr_mx)>m15%kf?j-X`ahnkKkWHtw7GLO|yo@9!Z!wAN*1`oehcS8kmtTH=$90jx z*vFbaAzksVp6?w`H}eFh$=k(5j0LnUJi@eq#up5NHky{(AJS*#7tU|x^tQ4)Q<^)SHccO`zvA!tA$0bPMHH^9p6O|g77P4s0(d6cPG3eK;^ z+#?)`;`2n2beS^N<|2@1z_$~rF`G1{mh7jW9D5BLP$TW&OdKwMPq$3V_NS$z3G?SF z>gj0D>($ZU2lc>sK#lbKpdM{07x|MuXI#zfVJG719>qZqZ`f(`W~4?pKAV+NV$99E zMqGuT=uNjc=z~9nbS8t{rG8vf)hu0$Qx^E_jR&8QiKW9=F}mDIs-ZecRJcuRLE6bSN>!2-Y@cUz;cwmwrduBQ4V8of}AY=nCeRqVlUwX2T*h z+fIY#pvhUqx0)Q;aHeRC-NZ9<3=QUU?Mo!rUF0tPWdoDJiDM{I$e58Ggp4P0X37TI zI-jBBl;1ZhOl?+vx15%W+{NqkIUNvP~Zph@+!6LMB>< zV4IL%w%I}3nU3}rETaZ<(-df>z|v5Dh5`}=d>w^Z3OFgStaI=%1zZ$Z-ZgXsZFnwg zcSubux`%K4BML32(8``YM;@Ti3JR_2z3S-ueg2_B0{c5VH%%Cx`TQLCo_4n?KF?@uD9ap?! z(o0Ug*5M}2E*4@LHOM3!^2ba9^^7N?1@CGHK1rG2aZGYoqm+Vosl$zh=VB_$BnR@6 z92m@&>9}Hw?sKFP8u2YPhdZipLP;m|X(*y|>U2QH6Gc9Z;-Wxg3ESoK6CWh}2ArXA ze%|JKY{#pw5_kajHNLrl6DU=JP_sd>QV_IdKS@2=msqYO!zA(SGReEf?H*E-MRhpG zq<8YS8qg|yB8X8HaY{ec>(&J^8{C?xG=Xc;=qHSn81Ia1a=Z8R>yl4HCTJ`Op}|E{ zjXi}z1VY+Yr9ox!VNTGIz-tIMyWLn+7rDty%FuEDDpCF_o|~Bn&6ll9v=NMlb+-EJ zl7Mra1ZAmpY0PDXm^4t2$QNG5IXmG^`Zl7+xsH6dkGZ~${y>2j|Ndg;`mPq({EVOR z4nr|_d~yYI{ZB3Csa!jA{a6b;`m~F=ex_$|Yb$g8QVUFMYhf;YDCJdLKMriVga5Ppr_u_z@#Mvt$Z0=`v{^Y!A1|D(M~|?y)6yS=YNSEBmBC) zByFSLr#>uQOuvuaECuQJxpAqRexDe^_aX6nQ$h;U@95=HoPK*`d>RtJ2QQFR`u+4q zd{`2{>2=c8^!x3VQjvZ)E|d1tugfcq)9>68X_9`gaZ5Awdz(`_Lcd`DZNB7Tt~sz3 zvyYOfHarK3vQZ(?|1rA*f&C(|W{f`Ha!>?Te}G=~pAdmBucGfyltthJ|A1Ctvm!9@ zt?dXL5rO-h+Yq=(1fH4Rfqz}H1!o-~m`v8hQu^&1BQ&xt_vCJH<+0)J+wz>6Yq$K6m^_L2x( zM%v4MECPF;YDVB?5jb*y0kv-L+^0n8;Ah6{L~I`B`5d`0@o7Q{vHD1#5K6O|A4^F z1pY5{B`3oMD2l~yrITGmVEKm)00RX6{Idjh5IFlm0(%Jj7W$o&?I7^CBLwyl_|=^R zMhU!h6M;zrTX9gt$-I&B?II3TyE(0krgX^Z%1Xc+A6-GWM zyM@5z7<`@V4v63R^e>(4P6Aqf>}1CX_{tBQ>|O%ezU^cWaLiYo>_Gzl&ljCI>jU7P z&pI&%0l52i-tbh48K ztiRpKo+99po1N@w0uJ5eWM3iR%{MyPvjlv6+R467z{W`@`!)g3;N$tv5pbg9WX}`u z(J?1`k$@lkfs?&NK;P9)_G1E`9&)mm3Ai!uWUmmgOLek;SAyT2s;!(*An0hSK_5*0lw!77xM`)eYuOR6yWAw7h5a9rQI&(7vRcH z7uzhrV93R`32+o|Kwl)l`Ac0aAi$qr>|$_cdBGRC7|w$d_{??}+abX01uoVnz#nXN zF(?5M%$@IINdd}RTr4fXpKNk5Re+z`=wbx{zGs7r?GfPheiyq&fWzm(A`39H9`;xR z*TEVKaA+-Tu>i-{z!D4a*441X0{oLzu)+fT=1SOL0XhLz1ejO>`zyeAFNgIN;MbSI z_6l$_z+(b@s}FWpfZtvUt1H0E0X`_e|Kx?m6<|BS69T-Y9oANWziET572r{Tj|uQs zOJHXOSONHi040E*5#R$JSXcpW2l$i#pLD~z3h*j`UlHIhT(GPHybs{l1=tJl+XDQ) z6E;A*x5A1Fa2DWiaM~fdbf};t z(H${nd(Ue#yM)}e(L6$U`u}H{_mZ}S&L4NjG~bWrD=-h_oVs34;XLJqZ3~?@a@s*c zMoRc@!Yz{CW}y{6+~TwsfDN-Nw>ahYDy8t~7AG|`D;&E;X?;tE3K{aZTkP=Mr6$L4 Kc(=-@;QtRST*Ku6 diff --git a/legacy/beryllium/DSDT.dsl b/legacy/beryllium/DSDT.dsl deleted file mode 100644 index 6e6a107..0000000 --- a/legacy/beryllium/DSDT.dsl +++ /dev/null @@ -1,58350 +0,0 @@ -/* - * Intel ACPI Component Architecture - * AML/ASL+ Disassembler version 20210604 (32-bit version) - * Copyright (c) 2000 - 2021 Intel Corporation - * - * Disassembling to symbolic ASL+ operators - * - * Disassembly of H:/EDK/iasl20210604/xiaomi.aml, Mon Jul 26 23:03:46 2021 - * - * Original Table Header: - * Signature "DSDT" - * Length 0x0003B9D8 (244184) - * Revision 0x02 - * Checksum 0x33 - * OEM ID "QCOMM " - * OEM Table ID "SDM850 " - * OEM Revision 0x00000003 (3) - * Compiler ID "INTL" - * Compiler Version 0x20210604 (539035140) - */ -DefinitionBlock ("", "DSDT", 2, "QCOMM ", "SDM850 ", 0x00000003) -{ - External (_SB_.TZ98, UnknownObj) - External (_SB_.TZ98._PSV, IntObj) - External (_SB_.TZ98._TC1, IntObj) - External (_SB_.TZ98._TC2, IntObj) - External (_SB_.TZ98._TSP, IntObj) - External (_SB_.TZ98.TPSV, UnknownObj) - External (_SB_.TZ98.TTC1, UnknownObj) - External (_SB_.TZ98.TTC2, UnknownObj) - External (_SB_.TZ98.TTSP, UnknownObj) - External (DPON, UnknownObj) - External (TRUE, UnknownObj) - - Scope (_SB) - { - Name (PSUB, "RENEGA0E") - Name (SOID, 0x015C) - Name (SIDS, "SDM850") - Name (SIDV, 0x00020001) - Name (SVMJ, 0x02) - Name (SVMI, One) - Name (SDFE, 0x4F) - Name (SFES, "899800000000000") - Name (SIDM, 0x0000000FFFFF00FF) - Name (SOSN, 0x000003F48D126594) - Name (RMTB, 0x85D00000) - Name (RMTX, 0x00200000) - Name (RFMB, Zero) - Name (RFMS, Zero) - Name (RFAB, Zero) - Name (RFAS, Zero) - Name (TCMA, 0x8AB00000) - Name (TCML, 0x01400000) - Name (PRSI, Buffer (0x0E) - { - /* 0000 */ 0x53, 0x4F, 0x53, 0x49, 0x0E, 0x10, 0x72, 0x00, // SOSI..r. - /* 0008 */ 0x86, 0x00, 0x00, 0x00, 0x00, 0x0B // ...... - }) - Name (MDID, 0x03) - Device (UFS0) - { - Name (_DEP, Package (0x01) // _DEP: Dependencies - { - PEP0 - }) - Name (_HID, "QCOM24A5") // _HID: Hardware ID - Alias (PSUB, _SUB) - Name (_CID, "ACPIQCOM24A5") // _CID: Compatible ID - Name (_UID, Zero) // _UID: Unique ID - Name (_CCA, Zero) // _CCA: Cache Coherency Attribute - Method (_CRS, 0, NotSerialized) // _CRS: Current Resource Settings - { - Name (RBUF, ResourceTemplate () - { - Memory32Fixed (ReadWrite, - 0x01D84000, // Address Base - 0x00014000, // Address Length - ) - Interrupt (ResourceConsumer, Level, ActiveHigh, Exclusive, ,, ) - { - 0x00000129, - } - }) - Return (RBUF) /* \_SB_.UFS0._CRS.RBUF */ - } - - Device (DEV0) - { - Method (_ADR, 0, NotSerialized) // _ADR: Address - { - Return (0x08) - } - - Method (_RMV, 0, NotSerialized) // _RMV: Removal Status - { - Return (Zero) - } - } - } - - Device (SDC2) - { - Name (_DEP, Package (0x02) // _DEP: Dependencies - { - PEP0, - GIO0 - }) - Name (_HID, "QCOM2466") // _HID: Hardware ID - Alias (PSUB, _SUB) - Name (_CID, "ACPIQCOM2466") // _CID: Compatible ID - Name (_UID, One) // _UID: Unique ID - Name (_CCA, Zero) // _CCA: Cache Coherency Attribute - Method (_CRS, 0, NotSerialized) // _CRS: Current Resource Settings - { - Name (RBUF, ResourceTemplate () - { - Memory32Fixed (ReadWrite, - 0x08804000, // Address Base - 0x00001000, // Address Length - ) - Interrupt (ResourceConsumer, Level, ActiveHigh, Exclusive, ,, ) - { - 0x000000EC, - } - GpioInt (Edge, ActiveBoth, SharedAndWake, PullUp, 0x7530, - "\\_SB.GIO0", 0x00, ResourceConsumer, , - ) - { // Pin list - 0xC0 - } - GpioIo (Shared, PullUp, 0x0000, 0x0000, IoRestrictionNone, - "\\_SB.GIO0", 0x00, ResourceConsumer, , - ) - { // Pin list - 126 - } - }) - Return (RBUF) /* \_SB_.SDC2._CRS.RBUF */ - } - - Method (_DIS, 0, NotSerialized) // _DIS: Disable Device - { - } - - Method (_STA, 0, NotSerialized) // _STA: Status - { - Return (0x0F) - } - } - - Device (ABD) - { - Name (_DEP, Package (0x01) // _DEP: Dependencies - { - PEP0 - }) - Name (_HID, "QCOM0242") // _HID: Hardware ID - Alias (PSUB, _SUB) - Name (_UID, Zero) // _UID: Unique ID - Method (_STA, 0, NotSerialized) // _STA: Status - { - Return (0x0B) - } - - OperationRegion (ROP1, GenericSerialBus, Zero, 0x0100) - Name (AVBL, Zero) - Method (_REG, 2, NotSerialized) // _REG: Region Availability - { - If ((Arg0 == 0x09)) - { - AVBL = Arg1 - } - } - } - - Name (ESNL, 0x14) - Name (DBFL, 0x17) - Device (PMIC) - { - Name (_DEP, Package (0x01) // _DEP: Dependencies - { - SPMI - }) - Name (_HID, "QCOM0266") // _HID: Hardware ID - Name (_CID, "PNP0CA3") // _CID: Compatible ID - Method (_STA, 0, NotSerialized) // _STA: Status - { - Return (0x0B) - } - - Method (PMCF, 0, NotSerialized) - { - Name (CFG0, Package (0x04) - { - 0x03, - Package (0x02) - { - Zero, - One - }, - - Package (0x02) - { - 0x02, - 0x03 - }, - - Package (0x02) - { - 0x04, - 0x05 - } - }) - Return (CFG0) /* \_SB_.PMIC.PMCF.CFG0 */ - } - } - - Device (PM01) - { - Name (_HID, "QCOM0269") // _HID: Hardware ID - Alias (PSUB, _SUB) - Name (_UID, One) // _UID: Unique ID - Name (_DEP, Package (0x01) // _DEP: Dependencies - { - PMIC - }) - Method (_STA, 0, NotSerialized) // _STA: Status - { - Return (0x0B) - } - - Method (_CRS, 0, NotSerialized) // _CRS: Current Resource Settings - { - Name (RBUF, ResourceTemplate () - { - Interrupt (ResourceConsumer, Level, ActiveHigh, Shared, ,, ) - { - 0x00000201, - } - }) - Return (RBUF) /* \_SB_.PM01._CRS.RBUF */ - } - - Method (_DSM, 4, NotSerialized) // _DSM: Device-Specific Method - { - While (One) - { - Name (_T_0, Buffer (One) // _T_x: Emitted by ASL Compiler, x=0-9, A-Z - { - 0x00 // . - }) - CopyObject (ToBuffer (Arg0), _T_0) /* \_SB_.PM01._DSM._T_0 */ - If ((_T_0 == ToUUID ("4f248f40-d5e2-499f-834c-27758ea1cd3f") /* GPIO Controller */)) - { - While (One) - { - Name (_T_1, Zero) // _T_x: Emitted by ASL Compiler, x=0-9, A-Z - _T_1 = ToInteger (Arg2) - If ((_T_1 == Zero)) - { - Return (Buffer (One) - { - 0x03 // . - }) - } - ElseIf ((_T_1 == One)) - { - Return (Package (0x02) - { - Zero, - One - }) - } - Else - { - } - - Break - } - } - Else - { - Return (Buffer (One) - { - 0x00 // . - }) - } - - Break - } - } - } - - Device (PMAP) - { - Name (_HID, "QCOM0268") // _HID: Hardware ID - Alias (PSUB, _SUB) - Name (_DEP, Package (0x03) // _DEP: Dependencies - { - PMIC, - ABD, - SCM0 - }) - Method (_STA, 0, NotSerialized) // _STA: Status - { - Return (0x0B) - } - - Method (GEPT, 0, NotSerialized) - { - Name (BUFF, Buffer (0x04){}) - CreateByteField (BUFF, Zero, STAT) - CreateWordField (BUFF, 0x02, DATA) - DATA = 0x02 - Return (DATA) /* \_SB_.PMAP.GEPT.DATA */ - } - - Method (_CRS, 0, NotSerialized) // _CRS: Current Resource Settings - { - Name (RBUF, Buffer (0x02) - { - 0x79, 0x00 // y. - }) - Return (RBUF) /* \_SB_.PMAP._CRS.RBUF */ - } - } - - Device (PRTC) - { - Name (_HID, "ACPI000E" /* Time and Alarm Device */) // _HID: Hardware ID - Name (_DEP, Package (0x01) // _DEP: Dependencies - { - PMAP - }) - Method (_STA, 0, NotSerialized) // _STA: Status - { - Return (0x0B) - } - - Method (_GCP, 0, NotSerialized) // _GCP: Get Capabilities - { - Return (0x04) - } - - Field (^ABD.ROP1, BufferAcc, NoLock, Preserve) - { - Connection ( - I2cSerialBusV2 (0x0002, ControllerInitiated, 0x00000000, - AddressingMode7Bit, "\\_SB.ABD", - 0x00, ResourceConsumer, , Exclusive, - ) - ), - AccessAs (BufferAcc, AttribRawBytes (0x18)), - FLD0, 192 - } - - Method (_GRT, 0, NotSerialized) // _GRT: Get Real Time - { - Name (BUFF, Buffer (0x1A){}) - CreateField (BUFF, 0x10, 0x80, TME1) - CreateField (BUFF, 0x90, 0x20, ACT1) - CreateField (BUFF, 0xB0, 0x20, ACW1) - BUFF = FLD0 /* \_SB_.PRTC.FLD0 */ - Return (TME1) /* \_SB_.PRTC._GRT.TME1 */ - } - - Method (_SRT, 1, NotSerialized) // _SRT: Set Real Time - { - Name (BUFF, Buffer (0x32){}) - CreateByteField (BUFF, Zero, STAT) - CreateField (BUFF, 0x10, 0x80, TME1) - CreateField (BUFF, 0x90, 0x20, ACT1) - CreateField (BUFF, 0xB0, 0x20, ACW1) - ACT1 = Zero - TME1 = Arg0 - ACW1 = Zero - BUFF = FLD0 = BUFF /* \_SB_.PRTC._SRT.BUFF */ - If ((STAT != Zero)) - { - Return (One) - } - - Return (Zero) - } - } - - Include("pmic_batt.asl") - - Device (PEP0) - { - Name (_HID, "QCOM0237") // _HID: Hardware ID - Name (_CID, "PNP0D80" /* Windows-compatible System Power Management Controller */) // _CID: Compatible ID - Include("thz.asl") - - Method (_CRS, 0, NotSerialized) // _CRS: Current Resource Settings - { - Return (ResourceTemplate () - { - Interrupt (ResourceConsumer, Level, ActiveHigh, ExclusiveAndWake, ,, ) - { - 0x0000021A, - } - Interrupt (ResourceConsumer, Level, ActiveHigh, ExclusiveAndWake, ,, ) - { - 0x0000021C, - } - Interrupt (ResourceConsumer, Level, ActiveHigh, ExclusiveAndWake, ,, ) - { - 0x0000021B, - } - Interrupt (ResourceConsumer, Level, ActiveHigh, ExclusiveAndWake, ,, ) - { - 0x0000021D, - } - Interrupt (ResourceConsumer, Level, ActiveHigh, Exclusive, ,, ) - { - 0x00000025, - } - Interrupt (ResourceConsumer, Level, ActiveHigh, Exclusive, ,, ) - { - 0x000000A1, - } - Interrupt (ResourceConsumer, Edge, ActiveHigh, Exclusive, ,, ) - { - 0x000001A5, - } - Interrupt (ResourceConsumer, Level, ActiveHigh, Exclusive, ,, ) - { - 0x00000040, - } - Interrupt (ResourceConsumer, Level, ActiveHigh, Exclusive, ,, ) - { - 0x00000041, - } - Interrupt (ResourceConsumer, Level, ActiveHigh, Exclusive, ,, ) - { - 0x00000265, - } - }) - } - - Field (^ABD.ROP1, BufferAcc, NoLock, Preserve) - { - Connection ( - I2cSerialBusV2 (0x0001, ControllerInitiated, 0x00000000, - AddressingMode7Bit, "\\_SB.ABD", - 0x00, ResourceConsumer, , Exclusive, - ) - ), - AccessAs (BufferAcc, AttribRawBytes (0x15)), - FLD0, 168 - } - - Method (GEPT, 0, NotSerialized) - { - Name (BUFF, Buffer (0x04){}) - CreateByteField (BUFF, Zero, STAT) - CreateWordField (BUFF, 0x02, DATA) - DATA = One - Return (DATA) /* \_SB_.PEP0.GEPT.DATA */ - } - - Name (ROST, Zero) - Method (NPUR, 1, NotSerialized) - { - ^^AGR0._PUR [One] = Arg0 - Notify (AGR0, 0x80) // Status Change - } - - Method (INTR, 0, NotSerialized) - { - Name (RBUF, Package (0x18) - { - 0x02, - One, - 0x03, - One, - 0x06, - 0x17911008, - One, - Zero, - 0x86000000, - 0x00200000, - Zero, - Zero, - 0x0C300000, - 0x1000, - Zero, - Zero, - 0x01FD4000, - 0x08, - Zero, - Zero, - 0x1799000C, - Zero, - Zero, - Zero - }) - Return (RBUF) /* \_SB_.PEP0.INTR.RBUF */ - } - - Method (CRTC, 0, NotSerialized) - { - Return (CTRX) /* \_SB_.PEP0.CTRX */ - } - - Name (CTRX, Package (0x0B) - { - "NSTC", - "HLCB", - "MMVD", - "DSGP", - "CCGP", - "MTPS", - "CPGP", - "DMPP", - "VRDL", - "GBDL", - "SRDL" - }) - Method (STND, 0, NotSerialized) - { - Return (STNX) /* \_SB_.PEP0.STNX */ - } - - Name (STNX, Package (0x19) - { - "DMPO", - "DMSB", - "DMQP", - "DMMS", - "DMPA", - "DMPC", - "DMPB", - "DM0G", - "DM1G", - "DM2G", - "DM3G", - "DM4G", - "DM5G", - "DM6G", - "DM7G", - "DM8G", - "DM9G", - "DMPS", - "DMPL", - "DMDQ", - "DMPI", - "DMWE", - "XMPC", - "XMPL", - "DMEP" - }) - Method (CTPM, 0, NotSerialized) - { - Name (CTPN, Package (0x02) - { - "CORE_TOPOLOGY", - 0x08 - }) - Return (CTPN) /* \_SB_.PEP0.CTPM.CTPN */ - } - - Name (CCFG, Package (0x08) - { - Package (0x02) - { - "\\_SB.SYSM.CLUS.CPU0", - 0x10 - }, - - Package (0x02) - { - "\\_SB.SYSM.CLUS.CPU1", - 0x11 - }, - - Package (0x02) - { - "\\_SB.SYSM.CLUS.CPU2", - 0x12 - }, - - Package (0x02) - { - "\\_SB.SYSM.CLUS.CPU3", - 0x13 - }, - - Package (0x02) - { - "\\_SB.SYSM.CLUS.CPU4", - 0x14 - }, - - Package (0x02) - { - "\\_SB.SYSM.CLUS.CPU5", - 0x15 - }, - - Package (0x02) - { - "\\_SB.SYSM.CLUS.CPU6", - 0x16 - }, - - Package (0x02) - { - "\\_SB.SYSM.CLUS.CPU7", - 0x17 - } - }) - Method (PGCC, 0, NotSerialized) - { - Return (CCFG) /* \_SB_.PEP0.CCFG */ - } - - Name (DRVC, Package (0x02) - { - Package (0x03) - { - "HLOS_DRV", - 0x02, - "/icb/arbiter" - }, - - Package (0x03) - { - "DISPLAY_DRV", - 0x09, - "/icb/arbiter/display" - } - }) - Method (LDRV, 0, NotSerialized) - { - Return (DRVC) /* \_SB_.PEP0.DRVC */ - } - - Name (DCVS, Zero) - Method (PGDS, 0, NotSerialized) - { - Return (DCVS) /* \_SB_.PEP0.DCVS */ - } - - Name (PPPP, Package (0x32) - { - Package (0x03) - { - "PPP_RESOURCE_ID_SMPS1_A", - "PM_RESOURCE_SERVICE_INTERFACE_TYPE_RPMH", - "PM_RESOURCE_SERVICE_INTERFACE_TYPE_PMIC_KMDF" - }, - - Package (0x03) - { - "PPP_RESOURCE_ID_SMPS2_A", - "PM_RESOURCE_SERVICE_INTERFACE_TYPE_RPMH", - "PM_RESOURCE_SERVICE_INTERFACE_TYPE_PMIC_KMDF" - }, - - Package (0x03) - { - "PPP_RESOURCE_ID_SMPS3_A", - "PM_RESOURCE_SERVICE_INTERFACE_TYPE_RPMH", - "PM_RESOURCE_SERVICE_INTERFACE_TYPE_PMIC_KMDF" - }, - - Package (0x03) - { - "PPP_RESOURCE_ID_SMPS4_A", - "PM_RESOURCE_SERVICE_INTERFACE_TYPE_RPMH", - "PM_RESOURCE_SERVICE_INTERFACE_TYPE_PMIC_KMDF" - }, - - Package (0x03) - { - "PPP_RESOURCE_ID_SMPS5_A", - "PM_RESOURCE_SERVICE_INTERFACE_TYPE_RPMH", - "PM_RESOURCE_SERVICE_INTERFACE_TYPE_PMIC_KMDF" - }, - - Package (0x03) - { - "PPP_RESOURCE_ID_SMPS6_A", - "PM_RESOURCE_SERVICE_INTERFACE_TYPE_RPMH", - "PM_RESOURCE_SERVICE_INTERFACE_TYPE_PMIC_KMDF" - }, - - Package (0x03) - { - "PPP_RESOURCE_ID_SMPS7_A", - "PM_RESOURCE_SERVICE_INTERFACE_TYPE_RPMH", - "PM_RESOURCE_SERVICE_INTERFACE_TYPE_PMIC_KMDF" - }, - - Package (0x03) - { - "PPP_RESOURCE_ID_SMPS9_A", - "PM_RESOURCE_SERVICE_INTERFACE_TYPE_RPMH", - "PM_RESOURCE_SERVICE_INTERFACE_TYPE_PMIC_KMDF" - }, - - Package (0x03) - { - "PPP_RESOURCE_ID_SMPS1_C", - "PM_RESOURCE_SERVICE_INTERFACE_TYPE_RPMH", - "PM_RESOURCE_SERVICE_INTERFACE_TYPE_PMIC_KMDF" - }, - - Package (0x03) - { - "PPP_RESOURCE_ID_SMPS2_C", - "PM_RESOURCE_SERVICE_INTERFACE_TYPE_RPMH", - "PM_RESOURCE_SERVICE_INTERFACE_TYPE_PMIC_KMDF" - }, - - Package (0x03) - { - "PPP_RESOURCE_ID_SMPS3_C", - "PM_RESOURCE_SERVICE_INTERFACE_TYPE_RPMH", - "PM_RESOURCE_SERVICE_INTERFACE_TYPE_PMIC_KMDF" - }, - - Package (0x03) - { - "PPP_RESOURCE_ID_LDO1_A", - "PM_RESOURCE_SERVICE_INTERFACE_TYPE_RPMH", - "PM_RESOURCE_SERVICE_INTERFACE_TYPE_PMIC_KMDF" - }, - - Package (0x03) - { - "PPP_RESOURCE_ID_LDO2_A", - "PM_RESOURCE_SERVICE_INTERFACE_TYPE_RPMH", - "PM_RESOURCE_SERVICE_INTERFACE_TYPE_PMIC_KMDF" - }, - - Package (0x03) - { - "PPP_RESOURCE_ID_LDO3_A", - "PM_RESOURCE_SERVICE_INTERFACE_TYPE_RPMH", - "PM_RESOURCE_SERVICE_INTERFACE_TYPE_PMIC_KMDF" - }, - - Package (0x03) - { - "PPP_RESOURCE_ID_LDO4_A", - "PM_RESOURCE_SERVICE_INTERFACE_TYPE_RPMH", - "PM_RESOURCE_SERVICE_INTERFACE_TYPE_PMIC_KMDF" - }, - - Package (0x03) - { - "PPP_RESOURCE_ID_LDO5_A", - "PM_RESOURCE_SERVICE_INTERFACE_TYPE_RPMH", - "PM_RESOURCE_SERVICE_INTERFACE_TYPE_PMIC_KMDF" - }, - - Package (0x03) - { - "PPP_RESOURCE_ID_LDO6_A", - "PM_RESOURCE_SERVICE_INTERFACE_TYPE_RPMH", - "PM_RESOURCE_SERVICE_INTERFACE_TYPE_PMIC_KMDF" - }, - - Package (0x03) - { - "PPP_RESOURCE_ID_LDO7_A", - "PM_RESOURCE_SERVICE_INTERFACE_TYPE_RPMH", - "PM_RESOURCE_SERVICE_INTERFACE_TYPE_PMIC_KMDF" - }, - - Package (0x03) - { - "PPP_RESOURCE_ID_LDO8_A", - "PM_RESOURCE_SERVICE_INTERFACE_TYPE_RPMH", - "PM_RESOURCE_SERVICE_INTERFACE_TYPE_PMIC_KMDF" - }, - - Package (0x03) - { - "PPP_RESOURCE_ID_LDO9_A", - "PM_RESOURCE_SERVICE_INTERFACE_TYPE_RPMH", - "PM_RESOURCE_SERVICE_INTERFACE_TYPE_PMIC_KMDF" - }, - - Package (0x03) - { - "PPP_RESOURCE_ID_LDO10_A", - "PM_RESOURCE_SERVICE_INTERFACE_TYPE_RPMH", - "PM_RESOURCE_SERVICE_INTERFACE_TYPE_PMIC_KMDF" - }, - - Package (0x03) - { - "PPP_RESOURCE_ID_LDO11_A", - "PM_RESOURCE_SERVICE_INTERFACE_TYPE_RPMH", - "PM_RESOURCE_SERVICE_INTERFACE_TYPE_PMIC_KMDF" - }, - - Package (0x03) - { - "PPP_RESOURCE_ID_LDO12_A", - "PM_RESOURCE_SERVICE_INTERFACE_TYPE_RPMH", - "PM_RESOURCE_SERVICE_INTERFACE_TYPE_PMIC_KMDF" - }, - - Package (0x03) - { - "PPP_RESOURCE_ID_LDO13_A", - "PM_RESOURCE_SERVICE_INTERFACE_TYPE_RPMH", - "PM_RESOURCE_SERVICE_INTERFACE_TYPE_PMIC_KMDF" - }, - - Package (0x03) - { - "PPP_RESOURCE_ID_LDO14_A", - "PM_RESOURCE_SERVICE_INTERFACE_TYPE_RPMH", - "PM_RESOURCE_SERVICE_INTERFACE_TYPE_PMIC_KMDF" - }, - - Package (0x03) - { - "PPP_RESOURCE_ID_LDO15_A", - "PM_RESOURCE_SERVICE_INTERFACE_TYPE_RPMH", - "PM_RESOURCE_SERVICE_INTERFACE_TYPE_PMIC_KMDF" - }, - - Package (0x03) - { - "PPP_RESOURCE_ID_LDO16_A", - "PM_RESOURCE_SERVICE_INTERFACE_TYPE_RPMH", - "PM_RESOURCE_SERVICE_INTERFACE_TYPE_PMIC_KMDF" - }, - - Package (0x03) - { - "PPP_RESOURCE_ID_LDO17_A", - "PM_RESOURCE_SERVICE_INTERFACE_TYPE_RPMH", - "PM_RESOURCE_SERVICE_INTERFACE_TYPE_PMIC_KMDF" - }, - - Package (0x03) - { - "PPP_RESOURCE_ID_LDO18_A", - "PM_RESOURCE_SERVICE_INTERFACE_TYPE_RPMH", - "PM_RESOURCE_SERVICE_INTERFACE_TYPE_PMIC_KMDF" - }, - - Package (0x03) - { - "PPP_RESOURCE_ID_LDO19_A", - "PM_RESOURCE_SERVICE_INTERFACE_TYPE_RPMH", - "PM_RESOURCE_SERVICE_INTERFACE_TYPE_PMIC_KMDF" - }, - - Package (0x03) - { - "PPP_RESOURCE_ID_LDO20_A", - "PM_RESOURCE_SERVICE_INTERFACE_TYPE_RPMH", - "PM_RESOURCE_SERVICE_INTERFACE_TYPE_PMIC_KMDF" - }, - - Package (0x03) - { - "PPP_RESOURCE_ID_LDO21_A", - "PM_RESOURCE_SERVICE_INTERFACE_TYPE_RPMH", - "PM_RESOURCE_SERVICE_INTERFACE_TYPE_PMIC_KMDF" - }, - - Package (0x03) - { - "PPP_RESOURCE_ID_LDO22_A", - "PM_RESOURCE_SERVICE_INTERFACE_TYPE_RPMH", - "PM_RESOURCE_SERVICE_INTERFACE_TYPE_PMIC_KMDF" - }, - - Package (0x03) - { - "PPP_RESOURCE_ID_LDO23_A", - "PM_RESOURCE_SERVICE_INTERFACE_TYPE_RPMH", - "PM_RESOURCE_SERVICE_INTERFACE_TYPE_PMIC_KMDF" - }, - - Package (0x03) - { - "PPP_RESOURCE_ID_LDO24_A", - "PM_RESOURCE_SERVICE_INTERFACE_TYPE_RPMH", - "PM_RESOURCE_SERVICE_INTERFACE_TYPE_PMIC_KMDF" - }, - - Package (0x03) - { - "PPP_RESOURCE_ID_LDO25_A", - "PM_RESOURCE_SERVICE_INTERFACE_TYPE_RPMH", - "PM_RESOURCE_SERVICE_INTERFACE_TYPE_PMIC_KMDF" - }, - - Package (0x03) - { - "PPP_RESOURCE_ID_LDO26_A", - "PM_RESOURCE_SERVICE_INTERFACE_TYPE_RPMH", - "PM_RESOURCE_SERVICE_INTERFACE_TYPE_PMIC_KMDF" - }, - - Package (0x03) - { - "PPP_RESOURCE_ID_LDO27_A", - "PM_RESOURCE_SERVICE_INTERFACE_TYPE_RPMH", - "PM_RESOURCE_SERVICE_INTERFACE_TYPE_PMIC_KMDF" - }, - - Package (0x03) - { - "PPP_RESOURCE_ID_LDO28_A", - "PM_RESOURCE_SERVICE_INTERFACE_TYPE_RPMH", - "PM_RESOURCE_SERVICE_INTERFACE_TYPE_PMIC_KMDF" - }, - - Package (0x03) - { - "PPP_RESOURCE_ID_LVS1_A", - "PM_RESOURCE_SERVICE_INTERFACE_TYPE_RPMH", - "PM_RESOURCE_SERVICE_INTERFACE_TYPE_PMIC_KMDF" - }, - - Package (0x03) - { - "PPP_RESOURCE_ID_LVS2_A", - "PM_RESOURCE_SERVICE_INTERFACE_TYPE_RPMH", - "PM_RESOURCE_SERVICE_INTERFACE_TYPE_PMIC_KMDF" - }, - - Package (0x03) - { - "PPP_RESOURCE_ID_CXO_BUFFERS_BBCLK2_A", - "PM_RESOURCE_SERVICE_INTERFACE_TYPE_RPMH", - "PM_RESOURCE_SERVICE_INTERFACE_TYPE_PMIC_KMDF" - }, - - Package (0x03) - { - "PPP_RESOURCE_ID_CXO_BUFFERS_BBCLK3_A", - "PM_RESOURCE_SERVICE_INTERFACE_TYPE_RPMH", - "PM_RESOURCE_SERVICE_INTERFACE_TYPE_PMIC_KMDF" - }, - - Package (0x03) - { - "PPP_RESOURCE_ID_CXO_BUFFERS_RFCLK1_A", - "PM_RESOURCE_SERVICE_INTERFACE_TYPE_RPMH", - "PM_RESOURCE_SERVICE_INTERFACE_TYPE_PMIC_KMDF" - }, - - Package (0x03) - { - "PPP_RESOURCE_ID_CXO_BUFFERS_RFCLK2_A", - "PM_RESOURCE_SERVICE_INTERFACE_TYPE_RPMH", - "PM_RESOURCE_SERVICE_INTERFACE_TYPE_PMIC_KMDF" - }, - - Package (0x03) - { - "PPP_RESOURCE_ID_CXO_BUFFERS_RFCLK3_A", - "PM_RESOURCE_SERVICE_INTERFACE_TYPE_RPMH", - "PM_RESOURCE_SERVICE_INTERFACE_TYPE_PMIC_KMDF" - }, - - Package (0x03) - { - "PPP_RESOURCE_ID_CXO_BUFFERS_DIVCLK1_A", - "PM_RESOURCE_SERVICE_INTERFACE_TYPE_PMIC_KMDF", - "PM_RESOURCE_SERVICE_INTERFACE_TYPE_PMIC_KMDF" - }, - - Package (0x03) - { - "PPP_RESOURCE_ID_CXO_BUFFERS_DIVCLK2_A", - "PM_RESOURCE_SERVICE_INTERFACE_TYPE_PMIC_KMDF", - "PM_RESOURCE_SERVICE_INTERFACE_TYPE_PMIC_KMDF" - }, - - Package (0x03) - { - "PPP_RESOURCE_ID_CXO_BUFFERS_DIVCLK3_A", - "PM_RESOURCE_SERVICE_INTERFACE_TYPE_PMIC_KMDF", - "PM_RESOURCE_SERVICE_INTERFACE_TYPE_PMIC_KMDF" - }, - - Package (0x03) - { - "PPP_RESOURCE_ID_BUCK_BOOST1_B", - "PM_RESOURCE_SERVICE_INTERFACE_TYPE_RPMH", - "PM_RESOURCE_SERVICE_INTERFACE_TYPE_PMIC_KMDF" - } - }) - Method (PPPM, 0, NotSerialized) - { - Return (PPPP) /* \_SB_.PEP0.PPPP */ - } - - Name (PRRP, Package (0x12) - { - "PPP_RESOURCE_RANGE_INFO_SMPS_A", - "PPP_RESOURCE_ID_SMPS1_A", - "PPP_RESOURCE_ID_SMPS13_A", - "PPP_RESOURCE_RANGE_INFO_SMPS_C", - "PPP_RESOURCE_ID_SMPS1_C", - "PPP_RESOURCE_ID_SMPS4_C", - "PPP_RESOURCE_RANGE_INFO_LDO_A", - "PPP_RESOURCE_ID_LDO1_A", - "PPP_RESOURCE_ID_LDO28_A", - "PPP_RESOURCE_RANGE_INFO_LVS_A", - "PPP_RESOURCE_ID_LVS1_A", - "PPP_RESOURCE_ID_LVS2_A", - "PPP_RESOURCE_RANGE_INFO_CXO_BUFFERS_A", - "PPP_RESOURCE_ID_CXO_BUFFERS_BBCLK2_A", - "PPP_RESOURCE_ID_CXO_BUFFERS_DIVCLK3_A", - "PPP_RESOURCE_RANGE_INFO_BUCK_BOOST_B", - "PPP_RESOURCE_ID_BUCK_BOOST1_B", - "PPP_RESOURCE_ID_BUCK_BOOST1_B" - }) - Method (PPRR, 0, NotSerialized) - { - Return (PRRP) /* \_SB_.PEP0.PRRP */ - } - - Method (PGSD, 0, NotSerialized) - { - Return (SDFR) /* \_SB_.PEP0.SDFR */ - } - - Name (FPDP, Zero) - Method (FPMD, 0, NotSerialized) - { - Return (FPDP) /* \_SB_.PEP0.FPDP */ - } - - Name (PPPC, Zero) - Method (PGPC, 0, NotSerialized) - { - Return (PPPC) /* \_SB_.PEP0.PPPC */ - } - - Method (DPRF, 0, NotSerialized) - { - Return (DPP0) /* \_SB_.DPP0 */ - } - - Method (DMRF, 0, NotSerialized) - { - Return (DPP1) /* \_SB_.DPP1 */ - } - } - - Scope (PEP0) - { - Method (LPDC, 0, NotSerialized) - { - Return (NPDC) /* \_SB_.PEP0.NPDC */ - } - - Name (NPDC, Package (0x01) - { - Package (0x02) - { - "INTERRUPT_CONFIG", - Package (0x0D) - { - Package (0x04) - { - Zero, - 0x021A, - Zero, - One - }, - - Package (0x04) - { - One, - 0x021B, - Zero, - One - }, - - Package (0x04) - { - 0x02, - 0x021C, - Zero, - One - }, - - Package (0x04) - { - 0x03, - 0x021D, - Zero, - One - }, - - Package (0x04) - { - 0x04, - 0x0218, - Zero, - One - }, - - Package (0x04) - { - 0x05, - 0x0219, - Zero, - One - }, - - Package (0x04) - { - 0x06, - 0x0206, - Zero, - One - }, - - Package (0x04) - { - 0x07, - 0x0207, - Zero, - One - }, - - Package (0x05) - { - 0x08, - 0x0208, - Zero, - One, - 0x08 - }, - - Package (0x05) - { - 0x09, - 0x0209, - Zero, - One, - 0x08 - }, - - Package (0x05) - { - 0x0A, - 0x020A, - Zero, - One, - 0x08 - }, - - Package (0x05) - { - 0x0B, - 0x020B, - Zero, - One, - 0x08 - }, - - Package (0x04) - { - 0x0C, - 0x0201, - Zero, - 0x04 - } - } - } - }) - } - - Scope (PEP0) - { - Method (LPCU, 0, NotSerialized) - { - Return (NPCU) /* \_SB_.PEP0.NPCU */ - } - - Name (NPCU, Package (0x05) - { - "PCU_CONFIG", - 0x09, - One, - Package (0x02) - { - "PCU_CLUSTER_CONFIG", - 0x09 - }, - - Package (0x0A) - { - "PCU_PHYS_CONFIG", - 0x17E00040, - 0x17E10040, - 0x17E20040, - 0x17E30040, - 0x17E40040, - 0x17E50040, - 0x17E60040, - 0x17E70040, - 0x17810104 - } - }) - } - - Scope (PEP0) - { - Method (LVDD, 0, NotSerialized) - { - Return (NVDD) /* \_SB_.PEP0.NVDD */ - } - - Name (NVDD, Package (0x06) - { - Package (0x02) - { - "/arc/client/rail_cx", - "RAIL_VOLTAGE_LEVEL_NOMINAL" - }, - - Package (0x02) - { - "/arc/client/display/rail_cx", - "RAIL_VOLTAGE_LEVEL_OFF" - }, - - Package (0x02) - { - "/arc/client/rail_mx", - "RAIL_VOLTAGE_LEVEL_NOMINAL" - }, - - Package (0x02) - { - "/arc/client/display/rail_mx", - "RAIL_VOLTAGE_LEVEL_OFF" - }, - - Package (0x02) - { - "/arc/client/rail_xo", - "XO_LEVEL_ON" - }, - - Package (0x02) - { - "/arc/client/display/rail_xo", - "XO_LEVEL_CRYSTAL_OFF" - } - }) - } - - Scope (PEP0) - { - Method (LLMH, 0, NotSerialized) - { - Return (NLMH) /* \_SB_.PEP0.NLMH */ - } - - Name (NLMH, Package (0x01) - { - Package (0x03) - { - "PEP_LMH_CFG", - Package (0x06) - { - Zero, - Zero, - 0x002DB400, - 0x0D02, - 0x0DF7, - 0x0DFC - }, - - Package (0x06) - { - One, - Zero, - 0x002DB400, - 0x0D02, - 0x0DF7, - 0x0DFC - } - } - }) - } - - Scope (PEP0) - { - Name (DVMP, Package (0x02) - { - Package (0x04) - { - "PPP_RESOURCE_ID_PMIC_GPIO_DV1", - "PPP_RESOURCE_TYPE_DISCRETE_PMIC_GPIO", - Package (0x02) - { - "PM_DISCRETE_VREG_STATE_ON", - Package (0x0A) - { - Zero, - 0x08, - Zero, - Zero, - One, - Zero, - One, - Zero, - One, - 0x05 - } - }, - - Package (0x02) - { - "PM_DISCRETE_VREG_STATE_OFF", - Package (0x0A) - { - Zero, - 0x08, - Zero, - Zero, - Zero, - Zero, - One, - Zero, - One, - 0x05 - } - } - }, - - Package (0x04) - { - "PPP_RESOURCE_ID_PMIC_MPP_DV1", - "PPP_RESOURCE_TYPE_DISCRETE_PMIC_MPP", - Package (0x02) - { - "PM_DISCRETE_VREG_STATE_ON", - Package (0x06) - { - Zero, - 0x03, - Zero, - 0x02, - One, - Zero - } - }, - - Package (0x02) - { - "PM_DISCRETE_VREG_STATE_OFF", - Package (0x06) - { - Zero, - 0x03, - Zero, - 0x02, - Zero, - Zero - } - } - } - }) - Method (DVMM, 0, NotSerialized) - { - Return (DVMP) /* \_SB_.PEP0.DVMP */ - } - } - - Scope (PEP0) - { - Method (LDBG, 0, NotSerialized) - { - Return (NDBG) /* \_SB_.PEP0.NDBG */ - } - - Name (NDBG, Package (0x04) - { - "DEBUGGERS", - Package (0x05) - { - "TYPE", - "SERIAL", - Package (0x02) - { - "INSTANCES", - "\\_SB.UARD" - }, - - Package (0x0C) - { - "DEBUG_ON", - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_qupv3_wrap_1_m_ahb_clk", - One - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x03) - { - "gcc_qupv3_wrap_1_m_ahb_clk", - 0x09, - 0x08 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x03) - { - "gcc_qupv3_wrap_1_m_ahb_clk", - 0x09, - 0x0C - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_qupv3_wrap_1_s_ahb_clk", - One - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x03) - { - "gcc_qupv3_wrap_1_s_ahb_clk", - 0x09, - 0x08 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x03) - { - "gcc_qupv3_wrap_1_s_ahb_clk", - 0x09, - 0x0C - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_qupv3_wrap1_s1_clk", - One - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x03) - { - "gcc_qupv3_wrap1_s1_clk", - 0x09, - 0x08 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x03) - { - "gcc_qupv3_wrap1_s1_clk", - 0x09, - 0x0C - } - }, - - Package (0x02) - { - "BUSARB", - Package (0x07) - { - 0x03, - "ICBID_MASTER_BLSP_2", - "ICBID_SLAVE_EBI1", - 0x00989680, - 0x0682, - "HLOS_DRV", - "SUPPRESSIBLE" - } - }, - - Package (0x02) - { - "BUSARB", - Package (0x07) - { - 0x03, - "ICBID_MASTER_APPSS_PROC", - "ICBID_SLAVE_BLSP_2", - 0x00989680, - 0x004C4B40, - "HLOS_DRV", - "SUPPRESSIBLE" - } - } - }, - - Package (0x01) - { - "DEBUG_OFF" - } - }, - - Package (0x05) - { - "TYPE", - "USB2.0", - Package (0x02) - { - "INSTANCES", - "\\_SB.USB1" - }, - - Package (0x1E) - { - "DEBUG_ON", - Package (0x02) - { - "PMICVREGVOTE", - Package (0x06) - { - "PPP_RESOURCE_ID_LDO12_A", - One, - 0x001B7740, - One, - 0x07, - Zero - } - }, - - Package (0x02) - { - "PMICVREGVOTE", - Package (0x06) - { - "PPP_RESOURCE_ID_LDO24_A", - One, - 0x002EEBB8, - One, - 0x07, - Zero - } - }, - - Package (0x02) - { - "PMICVREGVOTE", - Package (0x06) - { - "PPP_RESOURCE_ID_LDO26_A", - One, - 0x00124F80, - One, - 0x07, - Zero - } - }, - - Package (0x02) - { - "PMICVREGVOTE", - Package (0x06) - { - "PPP_RESOURCE_ID_LDO1_A", - One, - 0x000D6D80, - One, - 0x07, - Zero - } - }, - - Package (0x02) - { - "FOOTSWITCH", - Package (0x02) - { - "usb30_sec_gdsc", - One - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x03) - { - "gcc_usb30_sec_sleep_clk", - 0x09, - 0x08 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x03) - { - "gcc_usb30_sec_sleep_clk", - 0x09, - 0x0C - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_usb30_sec_sleep_clk", - One - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x03) - { - "gcc_usb3_sec_phy_pipe_clk", - 0x09, - 0x08 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x03) - { - "gcc_usb3_sec_phy_pipe_clk", - 0x09, - 0x0C - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_usb3_sec_phy_pipe_clk", - One - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x03) - { - "gcc_aggre_usb3_sec_axi_clk", - 0x09, - 0x08 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x03) - { - "gcc_aggre_usb3_sec_axi_clk", - 0x09, - 0x0C - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x04) - { - "gcc_aggre_usb3_sec_axi_clk", - 0x08, - 0x78, - 0x09 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x03) - { - "gcc_cfg_noc_usb3_sec_axi_clk", - 0x09, - 0x08 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x03) - { - "gcc_cfg_noc_usb3_sec_axi_clk", - 0x09, - 0x0C - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x04) - { - "gcc_cfg_noc_usb3_sec_axi_clk", - 0x08, - 0x78, - 0x09 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x03) - { - "gcc_usb30_sec_master_clk", - 0x09, - 0x08 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x03) - { - "gcc_usb30_sec_master_clk", - 0x09, - 0x0C - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x04) - { - "gcc_usb30_sec_master_clk", - 0x08, - 0x78, - 0x09 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x03) - { - "gcc_usb3_sec_phy_aux_clk", - 0x09, - 0x08 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x03) - { - "gcc_usb3_sec_phy_aux_clk", - 0x09, - 0x0C - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x04) - { - "gcc_usb3_sec_phy_aux_clk", - 0x08, - 0x04B0, - 0x07 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x03) - { - "gcc_usb_phy_cfg_ahb2phy_clk", - 0x09, - 0x08 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x03) - { - "gcc_usb_phy_cfg_ahb2phy_clk", - 0x09, - 0x0C - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_usb_phy_cfg_ahb2phy_clk", - One - } - }, - - Package (0x02) - { - "BUSARB", - Package (0x07) - { - 0x03, - "ICBID_MASTER_APPSS_PROC", - "ICBID_SLAVE_USB3_1", - 0x17D78400, - Zero, - "HLOS_DRV", - "SUPPRESSIBLE" - } - }, - - Package (0x02) - { - "BUSARB", - Package (0x07) - { - 0x03, - "ICBID_MASTER_USB3_1", - "ICBID_SLAVE_EBI1", - 0x28000000, - 0x28000000, - "HLOS_DRV", - "SUPPRESSIBLE" - } - }, - - Package (0x02) - { - "NPARESOURCE", - Package (0x04) - { - One, - "/arc/client/rail_cx", - 0x0100, - "SUPPRESSIBLE" - } - } - }, - - Package (0x01) - { - "DEBUG_OFF" - } - }, - - Package (0x05) - { - "TYPE", - "USB3.0", - Package (0x02) - { - "INSTANCES", - "\\_SB.URS0" - }, - - Package (0x1E) - { - "DEBUG_ON", - Package (0x02) - { - "PMICVREGVOTE", - Package (0x06) - { - "PPP_RESOURCE_ID_LDO12_A", - One, - 0x001B7740, - One, - 0x07, - Zero - } - }, - - Package (0x02) - { - "PMICVREGVOTE", - Package (0x06) - { - "PPP_RESOURCE_ID_LDO24_A", - One, - 0x002EEBB8, - One, - 0x07, - Zero - } - }, - - Package (0x02) - { - "PMICVREGVOTE", - Package (0x06) - { - "PPP_RESOURCE_ID_LDO26_A", - One, - 0x00124F80, - One, - 0x07, - Zero - } - }, - - Package (0x02) - { - "PMICVREGVOTE", - Package (0x06) - { - "PPP_RESOURCE_ID_LDO1_A", - One, - 0x000D6D80, - One, - 0x07, - Zero - } - }, - - Package (0x02) - { - "FOOTSWITCH", - Package (0x02) - { - "usb30_prim_gdsc", - One - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_usb30_prim_sleep_clk", - One - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x03) - { - "gcc_usb30_prim_sleep_clk", - 0x09, - 0x08 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x03) - { - "gcc_usb30_prim_sleep_clk", - 0x09, - 0x0C - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_usb3_prim_phy_pipe_clk", - One - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x03) - { - "gcc_usb3_prim_phy_pipe_clk", - 0x09, - 0x08 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x03) - { - "gcc_usb3_prim_phy_pipe_clk", - 0x09, - 0x0C - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x03) - { - "gcc_aggre_usb3_prim_axi_clk", - 0x09, - 0x08 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x03) - { - "gcc_aggre_usb3_prim_axi_clk", - 0x09, - 0x0C - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x04) - { - "gcc_aggre_usb3_prim_axi_clk", - 0x08, - 0x78, - 0x09 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x03) - { - "gcc_cfg_noc_usb3_prim_axi_clk", - 0x09, - 0x08 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x03) - { - "gcc_cfg_noc_usb3_prim_axi_clk", - 0x09, - 0x0C - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x04) - { - "gcc_cfg_noc_usb3_prim_axi_clk", - 0x08, - 0x78, - 0x09 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x03) - { - "gcc_usb30_prim_master_clk", - 0x09, - 0x08 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x03) - { - "gcc_usb30_prim_master_clk", - 0x09, - 0x0C - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x04) - { - "gcc_usb30_prim_master_clk", - 0x08, - 0x78, - 0x09 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x03) - { - "gcc_usb3_prim_phy_aux_clk", - 0x09, - 0x08 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x03) - { - "gcc_usb3_prim_phy_aux_clk", - 0x09, - 0x0C - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x04) - { - "gcc_usb3_prim_phy_aux_clk", - 0x08, - 0x04B0, - 0x07 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x03) - { - "gcc_usb_phy_cfg_ahb2phy_clk", - 0x09, - 0x08 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x03) - { - "gcc_usb_phy_cfg_ahb2phy_clk", - 0x09, - 0x0C - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_usb_phy_cfg_ahb2phy_clk", - One - } - }, - - Package (0x02) - { - "BUSARB", - Package (0x07) - { - 0x03, - "ICBID_MASTER_APPSS_PROC", - "ICBID_SLAVE_USB3_0", - 0x17D78400, - Zero, - "HLOS_DRV", - "SUPPRESSIBLE" - } - }, - - Package (0x02) - { - "BUSARB", - Package (0x07) - { - 0x03, - "ICBID_MASTER_USB3_0", - "ICBID_SLAVE_EBI1", - 0x28000000, - 0x28000000, - "HLOS_DRV", - "SUPPRESSIBLE" - } - }, - - Package (0x02) - { - "NPARESOURCE", - Package (0x04) - { - One, - "/arc/client/rail_cx", - 0x0100, - "SUPPRESSIBLE" - } - } - }, - - Package (0x01) - { - "DEBUG_OFF" - } - } - }) - } - - Scope (PEP0) - { - Method (LDRS, 0, NotSerialized) - { - Return (NDRS) /* \_SB_.PEP0.NDRS */ - } - - Name (NDRS, Package (0x03) - { - "DEFAULT_RESOURCES", - Package (0x04) - { - "OPTIMIZATION", - "CPU_CNOC_VOTE", - "BOOT", - Package (0x02) - { - "RESOURCES", - Package (0x02) - { - "BUSARB", - Package (0x06) - { - 0x03, - "ICBID_MASTER_APPSS_PROC", - "ICBID_SLAVE_CLK_CTL", - One, - One, - "SUPPRESSIBLE" - } - } - } - }, - - Package (0x04) - { - "WORKAROUND", - "USB1_GPIO_VOTE", - "BOOT", - Package (0x05) - { - "RESOURCES", - Package (0x02) - { - "TLMMGPIO", - Package (0x06) - { - 0x2C, - One, - Zero, - One, - Zero, - Zero - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_disp_ahb_clk", - One - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x03) - { - "gcc_disp_ahb_clk", - 0x09, - 0x08 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x03) - { - "gcc_disp_ahb_clk", - 0x09, - 0x0C - } - } - } - } - }) - } - - Scope (PEP0) - { - Method (UIDL, 0, NotSerialized) - { - Return (NIDL) /* \_SB_.PEP0.NIDL */ - } - - Name (NIDL, Package (0x0E) - { - "MICROPEP_IDLE", - One, - Package (0x08) - { - "LPR", - "KryoSilver0", - Zero, - Zero, - Package (0x07) - { - "MODE", - "C1", - Zero, - Zero, - Zero, - Zero, - Zero - }, - - Package (0x07) - { - "MODE", - "C2d", - 0x03E8, - 0x0FA0, - Zero, - Zero, - 0x02 - }, - - Package (0x07) - { - "MODE", - "C3", - 0x0BB8, - 0x1194, - 0x03, - Zero, - 0x40000003 - }, - - Package (0x07) - { - "MODE", - "C3_NI", - 0x0BB8, - 0x1194, - 0x13, - Zero, - 0x40000004 - } - }, - - Package (0x08) - { - "LPR", - "KryoSilver1", - One, - Zero, - Package (0x07) - { - "MODE", - "C1", - Zero, - Zero, - Zero, - Zero, - Zero - }, - - Package (0x07) - { - "MODE", - "C2d", - 0x03E8, - 0x0FA0, - Zero, - Zero, - 0x02 - }, - - Package (0x07) - { - "MODE", - "C3", - 0x0BB8, - 0x1194, - 0x03, - Zero, - 0x40000003 - }, - - Package (0x07) - { - "MODE", - "C3_NI", - 0x0BB8, - 0x1194, - 0x13, - Zero, - 0x40000004 - } - }, - - Package (0x08) - { - "LPR", - "KryoSilver2", - 0x02, - Zero, - Package (0x07) - { - "MODE", - "C1", - Zero, - Zero, - Zero, - Zero, - Zero - }, - - Package (0x07) - { - "MODE", - "C2d", - 0x03E8, - 0x0FA0, - Zero, - Zero, - 0x02 - }, - - Package (0x07) - { - "MODE", - "C3", - 0x0BB8, - 0x1194, - 0x03, - Zero, - 0x40000003 - }, - - Package (0x07) - { - "MODE", - "C3_NI", - 0x0BB8, - 0x1194, - 0x13, - Zero, - 0x40000004 - } - }, - - Package (0x08) - { - "LPR", - "KryoSilver3", - 0x03, - Zero, - Package (0x07) - { - "MODE", - "C1", - Zero, - Zero, - Zero, - Zero, - Zero - }, - - Package (0x07) - { - "MODE", - "C2d", - 0x03E8, - 0x0FA0, - Zero, - Zero, - 0x02 - }, - - Package (0x07) - { - "MODE", - "C3", - 0x0BB8, - 0x1194, - 0x03, - Zero, - 0x40000003 - }, - - Package (0x07) - { - "MODE", - "C3_NI", - 0x0BB8, - 0x1194, - 0x13, - Zero, - 0x40000004 - } - }, - - Package (0x08) - { - "LPR", - "KryoGold0", - 0x04, - Zero, - Package (0x07) - { - "MODE", - "C1", - Zero, - Zero, - Zero, - Zero, - Zero - }, - - Package (0x07) - { - "MODE", - "C2d", - 0x0320, - 0x1770, - 0x10, - Zero, - 0x02 - }, - - Package (0x07) - { - "MODE", - "C3", - 0x0384, - 0x00011170, - 0x13, - Zero, - 0x40000003 - }, - - Package (0x07) - { - "MODE", - "C3_NI", - 0x0384, - 0x00011170, - 0x13, - Zero, - 0x40000004 - } - }, - - Package (0x08) - { - "LPR", - "KryoGold1", - 0x05, - Zero, - Package (0x07) - { - "MODE", - "C1", - Zero, - Zero, - Zero, - Zero, - Zero - }, - - Package (0x07) - { - "MODE", - "C2d", - 0x0320, - 0x1770, - 0x10, - Zero, - 0x02 - }, - - Package (0x07) - { - "MODE", - "C3", - 0x0384, - 0x00011170, - 0x13, - Zero, - 0x40000003 - }, - - Package (0x07) - { - "MODE", - "C3_NI", - 0x0384, - 0x00011170, - 0x13, - Zero, - 0x40000004 - } - }, - - Package (0x08) - { - "LPR", - "KryoGold2", - 0x06, - Zero, - Package (0x07) - { - "MODE", - "C1", - Zero, - Zero, - Zero, - Zero, - Zero - }, - - Package (0x07) - { - "MODE", - "C2d", - 0x0320, - 0x1770, - 0x10, - Zero, - 0x02 - }, - - Package (0x07) - { - "MODE", - "C3", - 0x0384, - 0x00011170, - 0x13, - Zero, - 0x40000003 - }, - - Package (0x07) - { - "MODE", - "C3_NI", - 0x0384, - 0x00011170, - 0x13, - Zero, - 0x40000004 - } - }, - - Package (0x08) - { - "LPR", - "KryoGold3", - 0x07, - Zero, - Package (0x07) - { - "MODE", - "C1", - Zero, - Zero, - Zero, - Zero, - Zero - }, - - Package (0x07) - { - "MODE", - "C2d", - 0x0320, - 0x1770, - 0x10, - Zero, - 0x02 - }, - - Package (0x07) - { - "MODE", - "C3", - 0x0384, - 0x00011170, - 0x13, - Zero, - 0x40000003 - }, - - Package (0x07) - { - "MODE", - "C3_NI", - 0x0384, - 0x00011170, - 0x13, - Zero, - 0x40000004 - } - }, - - Package (0x07) - { - "LPR", - "L2_Silver", - 0xFFFFFFFF, - 0x01000000, - Package (0x0B) - { - "MODE", - "D2d", - 0x0514, - 0x0BB8, - 0x10, - Zero, - 0x20, - Package (0x05) - { - "DEPENDENCY_CONTAINER", - Package (0x04) - { - "DEPENDENCY", - "KryoSilver0", - "C1", - 0x07 - }, - - Package (0x04) - { - "DEPENDENCY", - "KryoSilver0", - "C2d", - 0x07 - }, - - Package (0x04) - { - "DEPENDENCY", - "KryoSilver0", - "C3", - 0x07 - }, - - Package (0x04) - { - "DEPENDENCY", - "KryoSilver0", - "C3_NI", - 0x07 - } - }, - - Package (0x05) - { - "DEPENDENCY_CONTAINER", - Package (0x04) - { - "DEPENDENCY", - "KryoSilver1", - "C1", - 0x07 - }, - - Package (0x04) - { - "DEPENDENCY", - "KryoSilver1", - "C2d", - 0x07 - }, - - Package (0x04) - { - "DEPENDENCY", - "KryoSilver1", - "C3", - 0x07 - }, - - Package (0x04) - { - "DEPENDENCY", - "KryoSilver1", - "C3_NI", - 0x07 - } - }, - - Package (0x05) - { - "DEPENDENCY_CONTAINER", - Package (0x04) - { - "DEPENDENCY", - "KryoSilver2", - "C1", - 0x07 - }, - - Package (0x04) - { - "DEPENDENCY", - "KryoSilver2", - "C2d", - 0x07 - }, - - Package (0x04) - { - "DEPENDENCY", - "KryoSilver2", - "C3", - 0x07 - }, - - Package (0x04) - { - "DEPENDENCY", - "KryoSilver2", - "C3_NI", - 0x07 - } - }, - - Package (0x05) - { - "DEPENDENCY_CONTAINER", - Package (0x04) - { - "DEPENDENCY", - "KryoSilver3", - "C1", - 0x07 - }, - - Package (0x04) - { - "DEPENDENCY", - "KryoSilver3", - "C2d", - 0x07 - }, - - Package (0x04) - { - "DEPENDENCY", - "KryoSilver3", - "C3", - 0x07 - }, - - Package (0x04) - { - "DEPENDENCY", - "KryoSilver3", - "C3_NI", - 0x07 - } - } - }, - - Package (0x0B) - { - "MODE", - "D2e", - 0x05DC, - 0x0DAC, - 0x10, - Zero, - 0x30, - Package (0x03) - { - "DEPENDENCY_CONTAINER", - Package (0x04) - { - "DEPENDENCY", - "KryoSilver0", - "C3", - 0x07 - }, - - Package (0x04) - { - "DEPENDENCY", - "KryoSilver0", - "C3_NI", - 0x07 - } - }, - - Package (0x03) - { - "DEPENDENCY_CONTAINER", - Package (0x04) - { - "DEPENDENCY", - "KryoSilver1", - "C3", - 0x07 - }, - - Package (0x04) - { - "DEPENDENCY", - "KryoSilver1", - "C3_NI", - 0x07 - } - }, - - Package (0x03) - { - "DEPENDENCY_CONTAINER", - Package (0x04) - { - "DEPENDENCY", - "KryoSilver2", - "C3", - 0x07 - }, - - Package (0x04) - { - "DEPENDENCY", - "KryoSilver2", - "C3_NI", - 0x07 - } - }, - - Package (0x03) - { - "DEPENDENCY_CONTAINER", - Package (0x04) - { - "DEPENDENCY", - "KryoSilver3", - "C3", - 0x07 - }, - - Package (0x04) - { - "DEPENDENCY", - "KryoSilver3", - "C3_NI", - 0x07 - } - } - }, - - Package (0x0B) - { - "MODE", - "D4", - 0x2328, - 0xFA00, - 0x10, - Zero, - 0x40, - Package (0x03) - { - "DEPENDENCY_CONTAINER", - Package (0x04) - { - "DEPENDENCY", - "KryoSilver0", - "C3", - 0x07 - }, - - Package (0x04) - { - "DEPENDENCY", - "KryoSilver0", - "C3_NI", - 0x07 - } - }, - - Package (0x03) - { - "DEPENDENCY_CONTAINER", - Package (0x04) - { - "DEPENDENCY", - "KryoSilver1", - "C3", - 0x07 - }, - - Package (0x04) - { - "DEPENDENCY", - "KryoSilver1", - "C3_NI", - 0x07 - } - }, - - Package (0x03) - { - "DEPENDENCY_CONTAINER", - Package (0x04) - { - "DEPENDENCY", - "KryoSilver2", - "C3", - 0x07 - }, - - Package (0x04) - { - "DEPENDENCY", - "KryoSilver2", - "C3_NI", - 0x07 - } - }, - - Package (0x03) - { - "DEPENDENCY_CONTAINER", - Package (0x04) - { - "DEPENDENCY", - "KryoSilver3", - "C3", - 0x07 - }, - - Package (0x04) - { - "DEPENDENCY", - "KryoSilver3", - "C3_NI", - 0x07 - } - } - } - }, - - Package (0x07) - { - "LPR", - "L2_Gold", - 0xFFFFFFFF, - 0x01000000, - Package (0x0B) - { - "MODE", - "D2d", - 0x07D0, - 0x2328, - 0x10, - Zero, - 0x20, - Package (0x05) - { - "DEPENDENCY_CONTAINER", - Package (0x04) - { - "DEPENDENCY", - "KryoGold0", - "C1", - 0x07 - }, - - Package (0x04) - { - "DEPENDENCY", - "KryoGold0", - "C2d", - 0x07 - }, - - Package (0x04) - { - "DEPENDENCY", - "KryoGold0", - "C3", - 0x07 - }, - - Package (0x04) - { - "DEPENDENCY", - "KryoGold0", - "C3_NI", - 0x07 - } - }, - - Package (0x05) - { - "DEPENDENCY_CONTAINER", - Package (0x04) - { - "DEPENDENCY", - "KryoGold1", - "C1", - 0x07 - }, - - Package (0x04) - { - "DEPENDENCY", - "KryoGold0", - "C2d", - 0x07 - }, - - Package (0x04) - { - "DEPENDENCY", - "KryoGold1", - "C3", - 0x07 - }, - - Package (0x04) - { - "DEPENDENCY", - "KryoGold1", - "C3_NI", - 0x07 - } - }, - - Package (0x05) - { - "DEPENDENCY_CONTAINER", - Package (0x04) - { - "DEPENDENCY", - "KryoGold2", - "C1", - 0x07 - }, - - Package (0x04) - { - "DEPENDENCY", - "KryoGold0", - "C2d", - 0x07 - }, - - Package (0x04) - { - "DEPENDENCY", - "KryoGold2", - "C3", - 0x07 - }, - - Package (0x04) - { - "DEPENDENCY", - "KryoGold2", - "C3_NI", - 0x07 - } - }, - - Package (0x05) - { - "DEPENDENCY_CONTAINER", - Package (0x04) - { - "DEPENDENCY", - "KryoGold3", - "C1", - 0x07 - }, - - Package (0x04) - { - "DEPENDENCY", - "KryoGold0", - "C2d", - 0x07 - }, - - Package (0x04) - { - "DEPENDENCY", - "KryoGold3", - "C3", - 0x07 - }, - - Package (0x04) - { - "DEPENDENCY", - "KryoGold3", - "C3_NI", - 0x07 - } - } - }, - - Package (0x0B) - { - "MODE", - "D2e", - 0x0FA0, - 0x2710, - 0x10, - Zero, - 0x30, - Package (0x03) - { - "DEPENDENCY_CONTAINER", - Package (0x04) - { - "DEPENDENCY", - "KryoGold0", - "C3", - 0x07 - }, - - Package (0x04) - { - "DEPENDENCY", - "KryoGold0", - "C3_NI", - 0x07 - } - }, - - Package (0x03) - { - "DEPENDENCY_CONTAINER", - Package (0x04) - { - "DEPENDENCY", - "KryoGold1", - "C3", - 0x07 - }, - - Package (0x04) - { - "DEPENDENCY", - "KryoGold1", - "C3_NI", - 0x07 - } - }, - - Package (0x03) - { - "DEPENDENCY_CONTAINER", - Package (0x04) - { - "DEPENDENCY", - "KryoGold2", - "C3", - 0x07 - }, - - Package (0x04) - { - "DEPENDENCY", - "KryoGold2", - "C3_NI", - 0x07 - } - }, - - Package (0x03) - { - "DEPENDENCY_CONTAINER", - Package (0x04) - { - "DEPENDENCY", - "KryoGold3", - "C3", - 0x07 - }, - - Package (0x04) - { - "DEPENDENCY", - "KryoGold3", - "C3_NI", - 0x07 - } - } - }, - - Package (0x0B) - { - "MODE", - "D4", - 0x2EE0, - 0xEA60, - 0x10, - Zero, - 0x40, - Package (0x03) - { - "DEPENDENCY_CONTAINER", - Package (0x04) - { - "DEPENDENCY", - "KryoGold0", - "C3", - 0x07 - }, - - Package (0x04) - { - "DEPENDENCY", - "KryoGold0", - "C3_NI", - 0x07 - } - }, - - Package (0x03) - { - "DEPENDENCY_CONTAINER", - Package (0x04) - { - "DEPENDENCY", - "KryoGold1", - "C3", - 0x07 - }, - - Package (0x04) - { - "DEPENDENCY", - "KryoGold1", - "C3_NI", - 0x07 - } - }, - - Package (0x03) - { - "DEPENDENCY_CONTAINER", - Package (0x04) - { - "DEPENDENCY", - "KryoGold2", - "C3", - 0x07 - }, - - Package (0x04) - { - "DEPENDENCY", - "KryoGold2", - "C3_NI", - 0x07 - } - }, - - Package (0x03) - { - "DEPENDENCY_CONTAINER", - Package (0x04) - { - "DEPENDENCY", - "KryoGold3", - "C3", - 0x07 - }, - - Package (0x04) - { - "DEPENDENCY", - "KryoGold3", - "C3_NI", - 0x07 - } - } - } - }, - - Package (0x07) - { - "LPR", - "CCI", - 0xFFFFFFFF, - 0x02000000, - Package (0x09) - { - "MODE", - "E1", - 0x1388, - 0x6590, - 0x10, - Zero, - 0x0100, - Package (0x03) - { - "DEPENDENCY_CONTAINER", - Package (0x04) - { - "DEPENDENCY", - "L2_Silver", - "D2e", - 0x07 - }, - - Package (0x04) - { - "DEPENDENCY", - "L2_Silver", - "D4", - 0x07 - } - }, - - Package (0x03) - { - "DEPENDENCY_CONTAINER", - Package (0x04) - { - "DEPENDENCY", - "L2_Gold", - "D2e", - 0x07 - }, - - Package (0x04) - { - "DEPENDENCY", - "L2_Gold", - "D4", - 0x07 - } - } - }, - - Package (0x09) - { - "MODE", - "E3", - 0x2AF8, - 0x7530, - 0x10, - Zero, - 0x0400, - Package (0x02) - { - "DEPENDENCY_CONTAINER", - Package (0x04) - { - "DEPENDENCY", - "L2_Silver", - "D4", - 0x07 - } - }, - - Package (0x02) - { - "DEPENDENCY_CONTAINER", - Package (0x04) - { - "DEPENDENCY", - "L2_Gold", - "D4", - 0x07 - } - } - }, - - Package (0x09) - { - "MODE", - "E3+RPM", - 0x2CEC, - 0x88B8, - 0x10, - Zero, - 0x0500, - Package (0x02) - { - "DEPENDENCY_CONTAINER", - Package (0x04) - { - "DEPENDENCY", - "L2_Silver", - "D4", - 0x07 - } - }, - - Package (0x02) - { - "DEPENDENCY_CONTAINER", - Package (0x04) - { - "DEPENDENCY", - "L2_Gold", - "D4", - 0x07 - } - } - } - }, - - Package (0x05) - { - "LPR", - "platform", - 0xFFFFFFFF, - Zero, - Package (0x08) - { - "MODE", - "xo", - 0x1388, - 0x00050910, - 0x20, - 0x10, - 0xFFFFFE00, - Package (0x02) - { - "DEPENDENCY_CONTAINER", - Package (0x04) - { - "DEPENDENCY", - "CCI", - "E3+RPM", - 0x07 - } - } - } - } - }) - } - - Scope (PEP0) - { - Method (CPRZ, 0, NotSerialized) - { - Return (CPRH) /* \_SB_.PEP0.CPRH */ - } - - Name (CPRH, Package (0x06) - { - "CPRH_SW_SETTING", - Zero, - Package (0x05) - { - "CPRH_CHIP_INFO", - 0x0141, - One, - Package (0x36) - { - "CPRH_SW_CONTROLLER_SETTING", - Zero, - "APC0", - 0x17DC0000, - 0x4000, - Zero, - 0x14, - 0x0F, - 0x0C, - 0x0B, - One, - 0x08, - 0xF0, - Zero, - Zero, - 0x00017700, - 0x0190, - 0x04, - 0x04, - 0x05, - Zero, - One, - 0x05, - One, - One, - One, - One, - 0x17, - 0x4B00, - Zero, - 0x04, - One, - 0x04, - One, - Zero, - Zero, - "", - Zero, - Zero, - Zero, - Zero, - Zero, - Zero, - Zero, - 0x17840800, - 0x0400, - One, - One, - Zero, - One, - One, - One, - Package (0x07) - { - "CPRH_SW_THREAD_SETTING", - Zero, - "L3", - 0x02, - 0x02, - Zero, - Zero - }, - - Package (0x07) - { - "CPRH_SW_THREAD_SETTING", - One, - "Silver", - 0x02, - 0x02, - Zero, - Zero - } - }, - - Package (0x35) - { - "CPRH_SW_CONTROLLER_SETTING", - One, - "APC1", - 0x17DB0000, - 0x4000, - Zero, - 0x14, - 0x0F, - 0x0E, - 0x09, - One, - 0x0E, - Zero, - Zero, - Zero, - 0x00017700, - 0x0190, - 0x04, - 0x04, - 0x05, - Zero, - 0x06, - 0x0A, - One, - One, - Zero, - Zero, - 0x17, - 0x4B00, - Zero, - 0x04, - One, - 0x04, - One, - Zero, - Zero, - "", - Zero, - Zero, - Zero, - Zero, - Zero, - Zero, - Zero, - 0x17830800, - 0x0400, - One, - One, - Zero, - One, - One, - One, - Package (0x07) - { - "CPRH_SW_THREAD_SETTING", - Zero, - "Gold", - 0x02, - 0x02, - Zero, - 0x02 - } - } - }, - - Package (0x05) - { - "CPRH_CHIP_INFO", - 0x0141, - 0x02, - Package (0x36) - { - "CPRH_SW_CONTROLLER_SETTING", - Zero, - "APC0", - 0x17DC0000, - 0x4000, - Zero, - 0x14, - 0x0F, - 0x0C, - 0x0B, - One, - 0x08, - 0xF0, - Zero, - Zero, - 0x00017700, - 0x0190, - 0x04, - 0x04, - 0x05, - Zero, - One, - 0x05, - One, - One, - One, - One, - 0x17, - 0x4B00, - Zero, - 0x04, - One, - 0x04, - One, - Zero, - Zero, - "", - Zero, - Zero, - Zero, - Zero, - Zero, - Zero, - Zero, - 0x17840800, - 0x0400, - One, - One, - Zero, - One, - One, - One, - Package (0x07) - { - "CPRH_SW_THREAD_SETTING", - Zero, - "L3", - 0x02, - 0x02, - Zero, - Zero - }, - - Package (0x07) - { - "CPRH_SW_THREAD_SETTING", - One, - "Silver", - 0x02, - 0x02, - Zero, - Zero - } - }, - - Package (0x35) - { - "CPRH_SW_CONTROLLER_SETTING", - One, - "APC1", - 0x17DB0000, - 0x4000, - Zero, - 0x14, - 0x0F, - 0x0E, - 0x09, - One, - 0x0E, - Zero, - Zero, - Zero, - 0x00017700, - 0x0190, - 0x04, - 0x04, - 0x05, - Zero, - 0x06, - 0x0A, - One, - One, - Zero, - Zero, - 0x17, - 0x4B00, - Zero, - 0x04, - One, - 0x04, - One, - Zero, - Zero, - "", - Zero, - Zero, - Zero, - Zero, - Zero, - Zero, - Zero, - 0x17830800, - 0x0400, - One, - One, - Zero, - One, - One, - One, - Package (0x07) - { - "CPRH_SW_THREAD_SETTING", - Zero, - "Gold", - 0x02, - 0x02, - Zero, - 0x02 - } - } - }, - - Package (0x05) - { - "CPRH_CHIP_INFO", - 0x0155, - One, - Package (0x36) - { - "CPRH_SW_CONTROLLER_SETTING", - Zero, - "APC0", - 0x17DC0000, - 0x4000, - Zero, - 0x14, - 0x0F, - 0x0C, - 0x0B, - One, - 0x08, - 0xF0, - Zero, - Zero, - 0x00017700, - 0x0190, - 0x04, - 0x04, - 0x05, - Zero, - One, - 0x05, - One, - One, - One, - One, - 0x17, - 0x4B00, - Zero, - 0x04, - One, - 0x04, - One, - Zero, - Zero, - "", - Zero, - Zero, - Zero, - Zero, - Zero, - Zero, - Zero, - 0x17840800, - 0x0400, - One, - One, - Zero, - One, - One, - One, - Package (0x07) - { - "CPRH_SW_THREAD_SETTING", - Zero, - "Silver", - 0x02, - 0x02, - Zero, - Zero - }, - - Package (0x07) - { - "CPRH_SW_THREAD_SETTING", - One, - "L3", - 0x02, - 0x02, - Zero, - Zero - } - }, - - Package (0x35) - { - "CPRH_SW_CONTROLLER_SETTING", - One, - "APC1", - 0x17DB0000, - 0x4000, - Zero, - 0x14, - 0x0F, - 0x0E, - 0x09, - One, - 0x0E, - Zero, - Zero, - Zero, - 0x00017700, - 0x0190, - 0x04, - 0x04, - 0x05, - Zero, - 0x06, - 0x0A, - One, - One, - Zero, - Zero, - 0x17, - 0x4B00, - Zero, - 0x04, - One, - 0x04, - One, - Zero, - Zero, - "", - Zero, - Zero, - Zero, - Zero, - Zero, - Zero, - Zero, - 0x17830800, - 0x0400, - One, - One, - Zero, - One, - One, - One, - Package (0x07) - { - "CPRH_SW_THREAD_SETTING", - Zero, - "Gold", - 0x02, - 0x02, - Zero, - 0x02 - } - } - }, - - Package (0x05) - { - "CPRH_CHIP_INFO", - 0x0155, - 0x02, - Package (0x36) - { - "CPRH_SW_CONTROLLER_SETTING", - Zero, - "APC0", - 0x17DC0000, - 0x4000, - Zero, - 0x14, - 0x0F, - 0x0C, - 0x0B, - One, - 0x08, - 0xF0, - Zero, - Zero, - 0x00017700, - 0x0190, - 0x04, - 0x04, - 0x05, - Zero, - One, - 0x05, - One, - One, - One, - One, - 0x17, - 0x4B00, - Zero, - 0x04, - One, - 0x04, - One, - Zero, - Zero, - "", - Zero, - Zero, - Zero, - Zero, - Zero, - Zero, - Zero, - 0x17840800, - 0x0400, - One, - One, - Zero, - One, - One, - One, - Package (0x07) - { - "CPRH_SW_THREAD_SETTING", - Zero, - "Silver", - 0x02, - 0x02, - Zero, - Zero - }, - - Package (0x07) - { - "CPRH_SW_THREAD_SETTING", - One, - "L3", - 0x02, - 0x02, - Zero, - Zero - } - }, - - Package (0x35) - { - "CPRH_SW_CONTROLLER_SETTING", - One, - "APC1", - 0x17DB0000, - 0x4000, - Zero, - 0x14, - 0x0F, - 0x0E, - 0x09, - One, - 0x0E, - Zero, - Zero, - Zero, - 0x00017700, - 0x0190, - 0x04, - 0x04, - 0x05, - Zero, - 0x06, - 0x0A, - One, - One, - Zero, - Zero, - 0x17, - 0x4B00, - Zero, - 0x04, - One, - 0x04, - One, - Zero, - Zero, - "", - Zero, - Zero, - Zero, - Zero, - Zero, - Zero, - Zero, - 0x17830800, - 0x0400, - One, - One, - Zero, - One, - One, - One, - Package (0x07) - { - "CPRH_SW_THREAD_SETTING", - Zero, - "Gold", - 0x02, - 0x02, - Zero, - 0x02 - } - } - } - }) - } - - Scope (PEP0) - { - Name (NDCV, Package (0x05) - { - Package (0x08) - { - "CHIP_INFO", - 0x0141, - One, - Zero, - 0x02, - Package (0x06) - { - "BIG", - 0x04, - "apcs_gold_sysleaf_clk", - Package (0x03) - { - 0x012C, - 0x040D, - 0x0626 - }, - - 0x03, - Package (0x03) - { - Zero, - 0x09, - 0x10 - } - }, - - Package (0x06) - { - "LITTLE", - 0x04, - "apcs_silver_sysleaf_clk", - Package (0x03) - { - 0x012C, - 0x04BA, - 0x063A - }, - - 0x03, - Package (0x03) - { - Zero, - 0x0B, - 0x10 - } - }, - - Package (0x02) - { - "L3_CACHE", - "apcs_l3_sysleaf_clk" - } - }, - - Package (0x08) - { - "CHIP_INFO", - 0x0141, - 0x02, - Zero, - 0x02, - Package (0x06) - { - "BIG", - 0x04, - "apcs_gold_sysleaf_clk", - Package (0x03) - { - 0x033A, - 0x0553, - 0x05B4 - }, - - 0x03, - Package (0x03) - { - Zero, - 0x07, - 0x0F - } - }, - - Package (0x06) - { - "LITTLE", - 0x04, - "apcs_silver_sysleaf_clk", - Package (0x03) - { - 0x012C, - 0x04CD, - 0x052D - }, - - 0x03, - Package (0x03) - { - Zero, - 0x0B, - 0x0F - } - }, - - Package (0x02) - { - "L3_CACHE", - "apcs_l3_sysleaf_clk" - } - }, - - Package (0x08) - { - "CHIP_INFO", - 0x0155, - One, - Zero, - 0x02, - Package (0x06) - { - "BIG", - 0x04, - "apcs_gold_sysleaf_clk", - Package (0x03) - { - 0x012C, - 0x040D, - 0x0626 - }, - - 0x03, - Package (0x03) - { - Zero, - 0x09, - 0x10 - } - }, - - Package (0x06) - { - "LITTLE", - 0x04, - "apcs_silver_sysleaf_clk", - Package (0x03) - { - 0x012C, - 0x04BA, - 0x063A - }, - - 0x03, - Package (0x03) - { - Zero, - 0x0B, - 0x10 - } - }, - - Package (0x02) - { - "L3_CACHE", - "apcs_l3_sysleaf_clk" - } - }, - - Package (0x08) - { - "CHIP_INFO", - 0x0155, - 0x02, - Zero, - 0x02, - Package (0x06) - { - "BIG", - 0x04, - "apcs_gold_sysleaf_clk", - Package (0x03) - { - 0x033A, - 0x0553, - 0x05B4 - }, - - 0x03, - Package (0x03) - { - Zero, - 0x07, - 0x0F - } - }, - - Package (0x06) - { - "LITTLE", - 0x04, - "apcs_silver_sysleaf_clk", - Package (0x03) - { - 0x012C, - 0x04CD, - 0x052D - }, - - 0x03, - Package (0x03) - { - Zero, - 0x0B, - 0x0F - } - }, - - Package (0x02) - { - "L3_CACHE", - "apcs_l3_sysleaf_clk" - } - }, - - Package (0x08) - { - "CHIP_INFO", - 0x015C, - 0x02, - Zero, - 0x02, - Package (0x06) - { - "BIG", - 0x04, - "apcs_gold_sysleaf_clk", - Package (0x03) - { - 0x033A, - 0x0553, - 0x05B4 - }, - - 0x03, - Package (0x03) - { - Zero, - 0x07, - 0x0F - } - }, - - Package (0x06) - { - "LITTLE", - 0x04, - "apcs_silver_sysleaf_clk", - Package (0x03) - { - 0x012C, - 0x04CD, - 0x052D - }, - - 0x03, - Package (0x03) - { - Zero, - 0x0B, - 0x0F - } - }, - - Package (0x02) - { - "L3_CACHE", - "apcs_l3_sysleaf_clk" - } - } - }) - Method (LDCV, 0, NotSerialized) - { - Return (NDCV) /* \_SB_.PEP0.NDCV */ - } - } - - Scope (PEP0) - { - Method (CTSN, 0, NotSerialized) - { - Return (THSD) /* \_SB_.PEP0.THSD */ - } - - Method (PEPH, 0, NotSerialized) - { - Return (Package (0x01) - { - "ACPI\\VEN_QCOM&DEV_0237" - }) - } - - Method (BCLH, 0, NotSerialized) - { - Return (Package (0x01) - { - "ACPI\\VEN_QCOM&DEV_0294" - }) - } - - Name (THSD, Package (0x07) - { - Package (0x16) - { - 0x15, - Package (0x02) - { - "ACPI\\VEN_QCOM&DEV_027F", - Zero - }, - - Package (0x02) - { - "ACPI\\VEN_QCOM&DEV_0280", - One - }, - - Package (0x02) - { - "ACPI\\VEN_QCOM&DEV_0281", - 0x02 - }, - - Package (0x02) - { - "ACPI\\VEN_QCOM&DEV_0282", - 0x03 - }, - - Package (0x02) - { - "ACPI\\VEN_QCOM&DEV_0283", - 0x04 - }, - - Package (0x02) - { - "ACPI\\VEN_QCOM&DEV_0284", - 0x05 - }, - - Package (0x02) - { - "ACPI\\VEN_QCOM&DEV_0285", - 0x06 - }, - - Package (0x02) - { - "ACPI\\VEN_QCOM&DEV_0286", - 0x07 - }, - - Package (0x02) - { - "ACPI\\VEN_QCOM&DEV_0287", - 0x08 - }, - - Package (0x02) - { - "ACPI\\VEN_QCOM&DEV_0288", - 0x09 - }, - - Package (0x02) - { - "ACPI\\VEN_QCOM&DEV_0289", - 0x0A - }, - - Package (0x02) - { - "ACPI\\VEN_QCOM&DEV_02AB", - 0x0B - }, - - Package (0x02) - { - "ACPI\\VEN_QCOM&DEV_02AC", - 0x0C - }, - - Package (0x02) - { - "ACPI\\VEN_QCOM&DEV_02AD", - 0x0D - }, - - Package (0x02) - { - "ACPI\\VEN_QCOM&DEV_02AE", - 0x0E - }, - - Package (0x02) - { - "ACPI\\VEN_QCOM&DEV_02AF", - 0x0F - }, - - Package (0x02) - { - "ACPI\\VEN_QCOM&DEV_02C8", - 0x10 - }, - - Package (0x02) - { - "ACPI\\VEN_QCOM&DEV_02C9", - 0x11 - }, - - Package (0x02) - { - "ACPI\\VEN_QCOM&DEV_02CA", - 0x12 - }, - - Package (0x02) - { - "ACPI\\VEN_QCOM&DEV_02CB", - 0x13 - }, - - Package (0x02) - { - "ACPI\\VEN_QCOM&DEV_02CC", - 0x14 - } - }, - - Package (0x04) - { - 0x03, - Package (0x04) - { - "ACPI\\VEN_QCOM&DEV_02B0", - 0x15, - 0x05, - Package (0x05) - { - One, - 0x02, - 0x03, - 0x04, - 0x05 - } - }, - - Package (0x04) - { - "ACPI\\VEN_QCOM&DEV_02B1", - 0x16, - 0x05, - Package (0x05) - { - 0x06, - 0x07, - 0x08, - 0x09, - 0x0A - } - }, - - Package (0x04) - { - "ACPI\\VEN_QCOM&DEV_02B2", - 0x17, - 0x15, - Package (0x15) - { - Zero, - One, - 0x02, - 0x03, - 0x04, - 0x05, - 0x06, - 0x07, - 0x08, - 0x09, - 0x0A, - 0x0B, - 0x0C, - 0x0D, - 0x0E, - 0x0F, - 0x10, - 0x11, - 0x12, - 0x13, - 0x14 - } - } - }, - - Package (0x09) - { - 0x08, - Package (0x04) - { - "SYS_THERM1", - "ACPI\\VEN_QCOM&DEV_0299", - Zero, - Zero - }, - - Package (0x04) - { - "SYS_THERM2", - "ACPI\\VEN_QCOM&DEV_029A", - One, - Zero - }, - - Package (0x04) - { - "PA_THERM", - "ACPI\\VEN_QCOM&DEV_029B", - 0x02, - Zero - }, - - Package (0x04) - { - "PA_THERM1", - "ACPI\\VEN_QCOM&DEV_029C", - 0x03, - Zero - }, - - Package (0x04) - { - "SYS_THERM3", - "ACPI\\VEN_QCOM&DEV_029D", - 0x04, - Zero - }, - - Package (0x04) - { - "PMIC_THERM", - "ACPI\\VEN_QCOM&DEV_029E", - 0x05, - Zero - }, - - Package (0x04) - { - "BAT_THERM", - "ACPI\\VEN_QCOM&DEV_029F", - 0x06, - Zero - }, - - Package (0x04) - { - "RF_THERM", - "ACPI\\VEN_QCOM&DEV_02A0", - 0x07, - Zero - } - }, - - Package (0x02) - { - One, - Package (0x05) - { - 0x02, - 0x0ADC, - 0x0B0E, - 0x02, - One - } - }, - - Package (0x05) - { - 0x04, - Package (0x03) - { - "cpuv_restriction_cold", - One, - Zero - }, - - Package (0x03) - { - "cpuv_restriction_cold", - One, - One - }, - - Package (0x03) - { - "cpuv_restriction_cold", - One, - 0x43 - }, - - Package (0x03) - { - "cpuv_restriction_cold", - One, - 0x53 - } - }, - - Package (0x01) - { - Zero - }, - - Package (0x08) - { - 0x07, - Package (0x03) - { - Zero, - Zero, - Zero - }, - - Package (0x03) - { - One, - 0x03, - Zero - }, - - Package (0x03) - { - 0x03, - 0x02, - Zero - }, - - Package (0x03) - { - 0x04, - 0x02, - One - }, - - Package (0x03) - { - 0x06, - 0x02, - 0x02 - }, - - Package (0x03) - { - 0x08, - 0x02, - 0x03 - }, - - Package (0x03) - { - 0x02, - 0x04, - Zero - } - } - }) - } - - Scope (PEP0) - { - Method (APMD, 0, NotSerialized) - { - Return (APCC) /* \_SB_.PEP0.APCC */ - } - - Name (APCC, Package (0x02) - { - Package (0x1A) - { - "DEVICE", - "\\_SB.ADSP.SLM1.ADCM.AUDD", - Package (0x05) - { - "COMPONENT", - Zero, - Package (0x03) - { - "FSTATE", - Zero, - Package (0x02) - { - "PMICVREGVOTE", - Package (0x03) - { - "PPP_RESOURCE_ID_CXO_BUFFERS_BBCLK2_A", - 0x06, - One - } - } - }, - - Package (0x03) - { - "FSTATE", - One, - Package (0x02) - { - "PMICVREGVOTE", - Package (0x03) - { - "PPP_RESOURCE_ID_CXO_BUFFERS_BBCLK2_A", - 0x06, - Zero - } - } - }, - - Package (0x06) - { - "PSTATE_SET", - Zero, - Package (0x03) - { - "PSTATE", - Zero, - Package (0x02) - { - "PMICVREGVOTE", - Package (0x06) - { - "PPP_RESOURCE_ID_SMPS4_A", - 0x02, - 0x001B7740, - One, - Zero, - Zero - } - } - }, - - Package (0x03) - { - "PSTATE", - One, - Package (0x02) - { - "PMICVREGVOTE", - Package (0x06) - { - "PPP_RESOURCE_ID_SMPS4_A", - 0x02, - 0x001B7740, - Zero, - Zero, - Zero - } - } - }, - - Package (0x02) - { - "PREPARE_PSTATE", - Zero - }, - - Package (0x02) - { - "ABANDON_PSTATE", - One - } - } - }, - - Package (0x04) - { - "COMPONENT", - One, - Package (0x02) - { - "FSTATE", - Zero - }, - - Package (0x02) - { - "FSTATE", - One - } - }, - - Package (0x04) - { - "COMPONENT", - 0x02, - Package (0x02) - { - "FSTATE", - Zero - }, - - Package (0x02) - { - "FSTATE", - One - } - }, - - Package (0x04) - { - "COMPONENT", - 0x03, - Package (0x02) - { - "FSTATE", - Zero - }, - - Package (0x02) - { - "FSTATE", - One - } - }, - - Package (0x04) - { - "COMPONENT", - 0x04, - Package (0x02) - { - "FSTATE", - Zero - }, - - Package (0x02) - { - "FSTATE", - One - } - }, - - Package (0x04) - { - "COMPONENT", - 0x05, - Package (0x02) - { - "FSTATE", - Zero - }, - - Package (0x02) - { - "FSTATE", - One - } - }, - - Package (0x04) - { - "COMPONENT", - 0x06, - Package (0x02) - { - "FSTATE", - Zero - }, - - Package (0x02) - { - "FSTATE", - One - } - }, - - Package (0x04) - { - "COMPONENT", - 0x07, - Package (0x02) - { - "FSTATE", - Zero - }, - - Package (0x02) - { - "FSTATE", - One - } - }, - - Package (0x04) - { - "COMPONENT", - 0x08, - Package (0x02) - { - "FSTATE", - Zero - }, - - Package (0x02) - { - "FSTATE", - One - } - }, - - Package (0x04) - { - "COMPONENT", - 0x09, - Package (0x02) - { - "FSTATE", - Zero - }, - - Package (0x02) - { - "FSTATE", - One - } - }, - - Package (0x04) - { - "COMPONENT", - 0x0A, - Package (0x02) - { - "FSTATE", - Zero - }, - - Package (0x02) - { - "FSTATE", - One - } - }, - - Package (0x04) - { - "COMPONENT", - 0x0B, - Package (0x02) - { - "FSTATE", - Zero - }, - - Package (0x02) - { - "FSTATE", - One - } - }, - - Package (0x04) - { - "COMPONENT", - 0x0C, - Package (0x02) - { - "FSTATE", - Zero - }, - - Package (0x02) - { - "FSTATE", - One - } - }, - - Package (0x04) - { - "COMPONENT", - 0x0D, - Package (0x02) - { - "FSTATE", - Zero - }, - - Package (0x02) - { - "FSTATE", - One - } - }, - - Package (0x04) - { - "COMPONENT", - 0x0E, - Package (0x02) - { - "FSTATE", - Zero - }, - - Package (0x02) - { - "FSTATE", - One - } - }, - - Package (0x04) - { - "COMPONENT", - 0x0F, - Package (0x02) - { - "FSTATE", - Zero - }, - - Package (0x02) - { - "FSTATE", - One - } - }, - - Package (0x04) - { - "COMPONENT", - 0x10, - Package (0x02) - { - "FSTATE", - Zero - }, - - Package (0x02) - { - "FSTATE", - One - } - }, - - Package (0x04) - { - "COMPONENT", - 0x11, - Package (0x02) - { - "FSTATE", - Zero - }, - - Package (0x02) - { - "FSTATE", - One - } - }, - - Package (0x04) - { - "COMPONENT", - 0x12, - Package (0x02) - { - "FSTATE", - Zero - }, - - Package (0x02) - { - "FSTATE", - One - } - }, - - Package (0x04) - { - "COMPONENT", - 0x13, - Package (0x02) - { - "FSTATE", - Zero - }, - - Package (0x02) - { - "FSTATE", - One - } - }, - - Package (0x04) - { - "COMPONENT", - 0x14, - Package (0x02) - { - "FSTATE", - Zero - }, - - Package (0x02) - { - "FSTATE", - One - } - }, - - Package (0x04) - { - "COMPONENT", - 0x15, - Package (0x02) - { - "FSTATE", - Zero - }, - - Package (0x02) - { - "FSTATE", - One - } - }, - - Package (0x04) - { - "COMPONENT", - 0x16, - Package (0x02) - { - "FSTATE", - Zero - }, - - Package (0x02) - { - "FSTATE", - One - } - }, - - Package (0x04) - { - "COMPONENT", - 0x17, - Package (0x02) - { - "FSTATE", - Zero - }, - - Package (0x02) - { - "FSTATE", - One - } - } - }, - - Package (0x03) - { - "DEVICE", - "\\_SB.ADSP.SLM1.ADCM.AUDD.MBHC", - Package (0x04) - { - "COMPONENT", - Zero, - Package (0x02) - { - "FSTATE", - Zero - }, - - Package (0x02) - { - "FSTATE", - One - } - } - } - }) - } - - Scope (PEP0) - { - Method (GPMD, 0, NotSerialized) - { - Name (LIST, Package (0x0B) - { - "METHOD_LIST", - "DM0G", - "DM1G", - "DM2G", - "DM3G", - "DM4G", - "DM5G", - "DM6G", - "DM7G", - "DM8G", - "DM9G" - }) - Return (LIST) /* \_SB_.PEP0.GPMD.LIST */ - } - - Method (G0MD, 0, NotSerialized) - { - Name (GPCC, Package (0x01) - { - Package (0x03) - { - "DEVICE", - "\\_SB.GPU0", - Package (0x0C) - { - "COMPONENT", - Zero, - Package (0x02) - { - "FSTATE", - Zero - }, - - Package (0x04) - { - "FSTATE", - One, - Package (0x12) - { - "ENTER", - Package (0x01) - { - "PSTATE_SAVE" - }, - - Package (0x02) - { - "PSTATE_ADJUST", - Package (0x02) - { - 0x03, - 0x0C - } - }, - - Package (0x02) - { - "PSTATE_ADJUST", - Package (0x02) - { - 0x04, - 0x2A - } - }, - - Package (0x02) - { - "PSTATE_ADJUST", - Package (0x02) - { - 0x02, - 0x04 - } - }, - - Package (0x02) - { - "FOOTSWITCH", - Package (0x02) - { - "mdss_core_gdsc", - 0x02 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_disp_ahb_clk", - 0x02 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_disp_xo_clk", - 0x02 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "phy_refgen_south", - 0x02 - } - }, - - Package (0x02) - { - "PMICVREGVOTE", - Package (0x08) - { - "PPP_RESOURCE_ID_LDO1_A", - One, - Zero, - Zero, - Zero, - Zero, - "HLOS_DRV", - "REQUIRED" - } - }, - - Package (0x02) - { - "PMICVREGVOTE", - Package (0x08) - { - "PPP_RESOURCE_ID_LDO26_A", - One, - Zero, - Zero, - Zero, - Zero, - "HLOS_DRV", - "REQUIRED" - } - }, - - Package (0x02) - { - "PMICGPIO", - Package (0x08) - { - "IOCTL_PM_GPIO_CONFIG_DIGITAL_OUTPUT", - Zero, - 0x11, - Zero, - One, - Zero, - Zero, - Zero - } - }, - - Package (0x02) - { - "TLMMGPIO", - Package (0x06) - { - 0x60, - Zero, - Zero, - One, - Zero, - Zero - } - }, - - Package (0x02) - { - "TLMMGPIO", - Package (0x06) - { - 0x7B, - Zero, - Zero, - One, - Zero, - Zero - } - }, - - Package (0x02) - { - "PMICVREGVOTE", - Package (0x08) - { - "PPP_RESOURCE_ID_LDO14_A", - One, - Zero, - Zero, - Zero, - Zero, - "HLOS_DRV", - "REQUIRED" - } - }, - - Package (0x02) - { - "PMICVREGVOTE", - Package (0x08) - { - "PPP_RESOURCE_ID_SMPS6_A", - 0x02, - Zero, - Zero, - Zero, - Zero, - "HLOS_DRV", - "REQUIRED" - } - }, - - Package (0x02) - { - "PMICVREGVOTE", - Package (0x03) - { - "PPP_RESOURCE_ID_CXO_BUFFERS_DIVCLK3_A", - 0x06, - Zero - } - }, - - Package (0x02) - { - "TLMMGPIO", - Package (0x06) - { - 0x80, - Zero, - Zero, - One, - Zero, - Zero - } - } - }, - - Package (0x11) - { - "EXIT", - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_disp_xo_clk", - One - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_disp_ahb_clk", - One - } - }, - - Package (0x02) - { - "FOOTSWITCH", - Package (0x02) - { - "mdss_core_gdsc", - One - } - }, - - Package (0x01) - { - "PSTATE_RESTORE" - }, - - Package (0x02) - { - "PMICVREGVOTE", - Package (0x08) - { - "PPP_RESOURCE_ID_LDO1_A", - One, - 0x000D6D80, - One, - 0x07, - Zero, - "HLOS_DRV", - "REQUIRED" - } - }, - - Package (0x02) - { - "PMICVREGVOTE", - Package (0x08) - { - "PPP_RESOURCE_ID_LDO26_A", - One, - 0x00124F80, - One, - 0x07, - Zero, - "HLOS_DRV", - "REQUIRED" - } - }, - - Package (0x02) - { - "PMICVREGVOTE", - Package (0x08) - { - "PPP_RESOURCE_ID_LDO14_A", - One, - 0x001CAFC0, - One, - 0x07, - Zero, - "HLOS_DRV", - "REQUIRED" - } - }, - - Package (0x02) - { - "PMICVREGVOTE", - Package (0x08) - { - "PPP_RESOURCE_ID_SMPS6_A", - 0x02, - 0x000C3500, - One, - 0x07, - Zero, - "HLOS_DRV", - "REQUIRED" - } - }, - - Package (0x02) - { - "PMICGPIO", - Package (0x08) - { - "IOCTL_PM_GPIO_CONFIG_DIGITAL_OUTPUT", - Zero, - 0x11, - Zero, - Zero, - One, - One, - 0x04 - } - }, - - Package (0x02) - { - "DELAY", - Package (0x01) - { - 0x0A - } - }, - - Package (0x02) - { - "TLMMGPIO", - Package (0x06) - { - 0x7B, - One, - Zero, - One, - 0x03, - 0x07 - } - }, - - Package (0x02) - { - "TLMMGPIO", - Package (0x06) - { - 0x80, - One, - Zero, - One, - 0x03, - 0x07 - } - }, - - Package (0x02) - { - "PMICVREGVOTE", - Package (0x03) - { - "PPP_RESOURCE_ID_CXO_BUFFERS_DIVCLK3_A", - 0x06, - One - } - }, - - Package (0x02) - { - "PMICGPIO", - Package (0x08) - { - "IOCTL_PM_GPIO_CONFIG_DIGITAL_OUTPUT", - Zero, - 0x0F, - Zero, - Zero, - 0x04, - 0x03, - 0x04 - } - }, - - Package (0x02) - { - "DELAY", - Package (0x01) - { - 0x96 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "phy_refgen_south", - One - } - } - } - }, - - Package (0x02) - { - "INIT_FSTATE", - One - }, - - Package (0x02) - { - "PRELOAD_FSTATE", - Zero - }, - - Package (0x02) - { - "ABANDON_FSTATE", - Zero - }, - - Package (0x07) - { - "PSTATE_SET", - Zero, - Package (0x02) - { - "PSTATE", - Zero - }, - - Package (0x02) - { - "PSTATE", - One - }, - - Package (0x02) - { - "PRELOAD_PSTATE", - Zero - }, - - Package (0x02) - { - "PREPARE_PSTATE", - Zero - }, - - Package (0x02) - { - "ABANDON_PSTATE", - Zero - } - }, - - Package (0x04) - { - "PSTATE_SET", - One, - Package (0x05) - { - "PSTATE", - Zero, - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_disp_xo_clk", - One - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_disp_ahb_clk", - One - } - }, - - Package (0x02) - { - "FOOTSWITCH", - Package (0x02) - { - "mdss_core_gdsc", - One - } - } - }, - - Package (0x05) - { - "PSTATE", - One, - Package (0x02) - { - "FOOTSWITCH", - Package (0x02) - { - "mdss_core_gdsc", - 0x02 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_disp_ahb_clk", - 0x02 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_disp_xo_clk", - 0x02 - } - } - } - }, - - Package (0x0A) - { - "PSTATE_SET", - 0x02, - Package (0x12) - { - "PSTATE", - Zero, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_APPSS_PROC", - "ICBID_SLAVE_DISPLAY_CFG", - 0x047868C0, - Zero - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "disp_cc_mdss_ahb_clk", - One - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_disp_axi_clk", - One - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "disp_cc_mdss_axi_clk", - One - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "disp_cc_mdss_mdp_clk", - One - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "disp_cc_mdss_vsync_clk", - One - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "disp_cc_mdss_rscc_ahb_clk", - One - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "disp_cc_mdss_rscc_vsync_clk", - One - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "disp_cc_mdss_pclk0_clk", - One - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "disp_cc_mdss_esc0_clk", - One - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "disp_cc_mdss_byte0_clk", - One - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "disp_cc_mdss_byte0_intf_clk", - One - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "disp_cc_mdss_pclk1_clk", - One - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "disp_cc_mdss_esc1_clk", - One - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "disp_cc_mdss_byte1_clk", - One - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "disp_cc_mdss_byte1_intf_clk", - One - } - } - }, - - Package (0x12) - { - "PSTATE", - One, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_APPSS_PROC", - "ICBID_SLAVE_DISPLAY_CFG", - 0x047868C0, - Zero - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "disp_cc_mdss_ahb_clk", - One - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_disp_axi_clk", - One - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "disp_cc_mdss_axi_clk", - One - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "disp_cc_mdss_mdp_clk", - One - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "disp_cc_mdss_vsync_clk", - One - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "disp_cc_mdss_rscc_ahb_clk", - One - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "disp_cc_mdss_rscc_vsync_clk", - One - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "disp_cc_mdss_pclk0_clk", - One - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "disp_cc_mdss_esc0_clk", - One - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "disp_cc_mdss_byte0_clk", - One - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "disp_cc_mdss_byte0_intf_clk", - One - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "disp_cc_mdss_pclk1_clk", - One - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "disp_cc_mdss_esc1_clk", - One - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "disp_cc_mdss_byte1_clk", - One - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "disp_cc_mdss_byte1_intf_clk", - One - } - } - }, - - Package (0x12) - { - "PSTATE", - 0x02, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_APPSS_PROC", - "ICBID_SLAVE_DISPLAY_CFG", - 0x047868C0, - Zero - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "disp_cc_mdss_ahb_clk", - One - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_disp_axi_clk", - One - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "disp_cc_mdss_axi_clk", - One - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "disp_cc_mdss_mdp_clk", - One - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "disp_cc_mdss_vsync_clk", - One - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "disp_cc_mdss_rscc_ahb_clk", - One - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "disp_cc_mdss_rscc_vsync_clk", - One - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "disp_cc_mdss_pclk0_clk", - One - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "disp_cc_mdss_esc0_clk", - One - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "disp_cc_mdss_byte0_clk", - One - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "disp_cc_mdss_byte0_intf_clk", - One - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "disp_cc_mdss_pclk1_clk", - 0x02 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "disp_cc_mdss_esc1_clk", - 0x02 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "disp_cc_mdss_byte1_clk", - 0x02 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "disp_cc_mdss_byte1_intf_clk", - 0x02 - } - } - }, - - Package (0x12) - { - "PSTATE", - 0x03, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_APPSS_PROC", - "ICBID_SLAVE_DISPLAY_CFG", - 0x047868C0, - Zero - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "disp_cc_mdss_ahb_clk", - One - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_disp_axi_clk", - One - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "disp_cc_mdss_axi_clk", - One - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "disp_cc_mdss_mdp_clk", - One - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "disp_cc_mdss_vsync_clk", - One - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "disp_cc_mdss_rscc_ahb_clk", - One - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "disp_cc_mdss_rscc_vsync_clk", - One - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "disp_cc_mdss_pclk0_clk", - 0x02 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "disp_cc_mdss_esc0_clk", - 0x02 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "disp_cc_mdss_byte0_clk", - 0x02 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "disp_cc_mdss_byte0_intf_clk", - 0x02 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "disp_cc_mdss_pclk1_clk", - One - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "disp_cc_mdss_esc1_clk", - One - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "disp_cc_mdss_byte1_clk", - One - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "disp_cc_mdss_byte1_intf_clk", - One - } - } - }, - - Package (0x12) - { - "PSTATE", - 0x04, - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "disp_cc_mdss_mdp_clk", - 0x02 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "disp_cc_mdss_vsync_clk", - 0x02 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "disp_cc_mdss_rscc_ahb_clk", - 0x02 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "disp_cc_mdss_rscc_vsync_clk", - 0x02 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "disp_cc_mdss_pclk0_clk", - 0x02 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "disp_cc_mdss_esc0_clk", - 0x02 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "disp_cc_mdss_byte0_clk", - 0x02 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "disp_cc_mdss_byte0_intf_clk", - 0x02 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "disp_cc_mdss_pclk1_clk", - 0x02 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "disp_cc_mdss_esc1_clk", - 0x02 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "disp_cc_mdss_byte1_clk", - 0x02 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "disp_cc_mdss_byte1_intf_clk", - 0x02 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "disp_cc_mdss_axi_clk", - 0x02 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_disp_axi_clk", - 0x02 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "disp_cc_mdss_ahb_clk", - 0x02 - } - }, - - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_APPSS_PROC", - "ICBID_SLAVE_DISPLAY_CFG", - Zero, - Zero - } - } - }, - - Package (0x02) - { - "PRELOAD_PSTATE", - Zero - }, - - Package (0x02) - { - "PREPARE_PSTATE", - Zero - }, - - Package (0x02) - { - "ABANDON_PSTATE", - Zero - } - }, - - Package (0x12) - { - "PSTATE_SET", - 0x03, - Package (0x04) - { - "PSTATE", - Zero, - Package (0x02) - { - "CLOCK", - Package (0x04) - { - "disp_cc_mdss_mdp_clk", - 0x03, - 0x19A14780, - One - } - }, - - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_APPSS_PROC", - "ICBID_SLAVE_DISPLAY_CFG", - 0x11C31E80, - Zero - } - } - }, - - Package (0x04) - { - "PSTATE", - One, - Package (0x02) - { - "CLOCK", - Package (0x04) - { - "disp_cc_mdss_mdp_clk", - 0x03, - 0x18964020, - One - } - }, - - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_APPSS_PROC", - "ICBID_SLAVE_DISPLAY_CFG", - 0x11C31E80, - Zero - } - } - }, - - Package (0x04) - { - "PSTATE", - 0x02, - Package (0x02) - { - "CLOCK", - Package (0x04) - { - "disp_cc_mdss_mdp_clk", - 0x03, - 0x14810600, - One - } - }, - - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_APPSS_PROC", - "ICBID_SLAVE_DISPLAY_CFG", - 0x08D24D00, - Zero - } - } - }, - - Package (0x04) - { - "PSTATE", - 0x03, - Package (0x02) - { - "CLOCK", - Package (0x04) - { - "disp_cc_mdss_mdp_clk", - 0x03, - 0x11E1A300, - One - } - }, - - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_APPSS_PROC", - "ICBID_SLAVE_DISPLAY_CFG", - 0x08D24D00, - Zero - } - } - }, - - Package (0x04) - { - "PSTATE", - 0x04, - Package (0x02) - { - "CLOCK", - Package (0x04) - { - "disp_cc_mdss_mdp_clk", - 0x03, - 0x10642AC0, - One - } - }, - - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_APPSS_PROC", - "ICBID_SLAVE_DISPLAY_CFG", - 0x08D24D00, - Zero - } - } - }, - - Package (0x04) - { - "PSTATE", - 0x05, - Package (0x02) - { - "CLOCK", - Package (0x04) - { - "disp_cc_mdss_mdp_clk", - 0x03, - 0x0BEBC200, - One - } - }, - - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_APPSS_PROC", - "ICBID_SLAVE_DISPLAY_CFG", - 0x08D24D00, - Zero - } - } - }, - - Package (0x04) - { - "PSTATE", - 0x06, - Package (0x02) - { - "CLOCK", - Package (0x04) - { - "disp_cc_mdss_mdp_clk", - 0x03, - 0x0A37CADB, - One - } - }, - - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_APPSS_PROC", - "ICBID_SLAVE_DISPLAY_CFG", - 0x047868C0, - Zero - } - } - }, - - Package (0x04) - { - "PSTATE", - 0x07, - Package (0x02) - { - "CLOCK", - Package (0x04) - { - "disp_cc_mdss_mdp_clk", - 0x03, - 0x09D5B340, - One - } - }, - - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_APPSS_PROC", - "ICBID_SLAVE_DISPLAY_CFG", - 0x047868C0, - Zero - } - } - }, - - Package (0x04) - { - "PSTATE", - 0x08, - Package (0x02) - { - "CLOCK", - Package (0x04) - { - "disp_cc_mdss_mdp_clk", - 0x03, - 0x08F0D180, - One - } - }, - - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_APPSS_PROC", - "ICBID_SLAVE_DISPLAY_CFG", - 0x047868C0, - Zero - } - } - }, - - Package (0x04) - { - "PSTATE", - 0x09, - Package (0x02) - { - "CLOCK", - Package (0x04) - { - "disp_cc_mdss_mdp_clk", - 0x03, - 0x05F5E100, - One - } - }, - - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_APPSS_PROC", - "ICBID_SLAVE_DISPLAY_CFG", - 0x047868C0, - Zero - } - } - }, - - Package (0x04) - { - "PSTATE", - 0x0A, - Package (0x02) - { - "CLOCK", - Package (0x04) - { - "disp_cc_mdss_mdp_clk", - 0x03, - 0x051BD4B0, - One - } - }, - - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_APPSS_PROC", - "ICBID_SLAVE_DISPLAY_CFG", - 0x047868C0, - Zero - } - } - }, - - Package (0x04) - { - "PSTATE", - 0x0B, - Package (0x02) - { - "CLOCK", - Package (0x04) - { - "disp_cc_mdss_mdp_clk", - 0x03, - 0x0124F800, - One - } - }, - - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_APPSS_PROC", - "ICBID_SLAVE_DISPLAY_CFG", - 0x047868C0, - Zero - } - } - }, - - Package (0x04) - { - "PSTATE", - 0x0C, - Package (0x02) - { - "CLOCK", - Package (0x04) - { - "disp_cc_mdss_mdp_clk", - 0x03, - Zero, - One - } - }, - - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_APPSS_PROC", - "ICBID_SLAVE_DISPLAY_CFG", - Zero, - Zero - } - } - }, - - Package (0x02) - { - "PRELOAD_PSTATE", - 0x04 - }, - - Package (0x02) - { - "PREPARE_PSTATE", - 0x04 - }, - - Package (0x02) - { - "ABANDON_PSTATE", - 0x04 - } - }, - - Package (0x30) - { - "PSTATE_SET", - 0x04, - Package (0x04) - { - "PSTATE", - Zero, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_MDP0", - "ICBID_SLAVE_MNOC_HF_MEM_NOC", - 0x000000031A4A9F80, - 0x000000031A4A9F80 - } - }, - - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_MNOC_HF_MEM_NOC", - "ICBID_SLAVE_EBI1", - 0x2FAF0800, - 0x000000031A4A9F80 - } - } - }, - - Package (0x04) - { - "PSTATE", - One, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_MDP0", - "ICBID_SLAVE_MNOC_HF_MEM_NOC", - 0x0000000302731B80, - 0x0000000302731B80 - } - }, - - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_MNOC_HF_MEM_NOC", - "ICBID_SLAVE_EBI1", - 0x2FAF0800, - 0x0000000302731B80 - } - } - }, - - Package (0x04) - { - "PSTATE", - 0x02, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_MDP0", - "ICBID_SLAVE_MNOC_HF_MEM_NOC", - 0x00000002EA9B9780, - 0x00000002EA9B9780 - } - }, - - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_MNOC_HF_MEM_NOC", - "ICBID_SLAVE_EBI1", - 0x2FAF0800, - 0x00000002EA9B9780 - } - } - }, - - Package (0x04) - { - "PSTATE", - 0x03, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_MDP0", - "ICBID_SLAVE_MNOC_HF_MEM_NOC", - 0x00000002D2C41380, - 0x00000002D2C41380 - } - }, - - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_MNOC_HF_MEM_NOC", - "ICBID_SLAVE_EBI1", - 0x2FAF0800, - 0x00000002D2C41380 - } - } - }, - - Package (0x04) - { - "PSTATE", - 0x04, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_MDP0", - "ICBID_SLAVE_MNOC_HF_MEM_NOC", - 0x00000002BAEC8F80, - 0x00000002BAEC8F80 - } - }, - - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_MNOC_HF_MEM_NOC", - "ICBID_SLAVE_EBI1", - 0x2FAF0800, - 0x00000002BAEC8F80 - } - } - }, - - Package (0x04) - { - "PSTATE", - 0x05, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_MDP0", - "ICBID_SLAVE_MNOC_HF_MEM_NOC", - 0x00000002A3150B80, - 0x00000002A3150B80 - } - }, - - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_MNOC_HF_MEM_NOC", - "ICBID_SLAVE_EBI1", - 0x2FAF0800, - 0x00000002A3150B80 - } - } - }, - - Package (0x04) - { - "PSTATE", - 0x06, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_MDP0", - "ICBID_SLAVE_MNOC_HF_MEM_NOC", - 0x000000028B3D8780, - 0x000000028B3D8780 - } - }, - - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_MNOC_HF_MEM_NOC", - "ICBID_SLAVE_EBI1", - 0x2FAF0800, - 0x000000028B3D8780 - } - } - }, - - Package (0x04) - { - "PSTATE", - 0x07, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_MDP0", - "ICBID_SLAVE_MNOC_HF_MEM_NOC", - 0x0000000273660380, - 0x0000000273660380 - } - }, - - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_MNOC_HF_MEM_NOC", - "ICBID_SLAVE_EBI1", - 0x2FAF0800, - 0x0000000273660380 - } - } - }, - - Package (0x04) - { - "PSTATE", - 0x08, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_MDP0", - "ICBID_SLAVE_MNOC_HF_MEM_NOC", - 0x000000025B8E7F80, - 0x000000025B8E7F80 - } - }, - - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_MNOC_HF_MEM_NOC", - "ICBID_SLAVE_EBI1", - 0x2FAF0800, - 0x000000025B8E7F80 - } - } - }, - - Package (0x04) - { - "PSTATE", - 0x09, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_MDP0", - "ICBID_SLAVE_MNOC_HF_MEM_NOC", - 0x000000023C346000, - 0x000000023C346000 - } - }, - - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_MNOC_HF_MEM_NOC", - "ICBID_SLAVE_EBI1", - 0x2FAF0800, - 0x000000023C346000 - } - } - }, - - Package (0x04) - { - "PSTATE", - 0x0A, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_MDP0", - "ICBID_SLAVE_MNOC_HF_MEM_NOC", - 0x000000023C346000, - 0x00000002245CDC00 - } - }, - - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_MNOC_HF_MEM_NOC", - "ICBID_SLAVE_EBI1", - 0x2FAF0800, - 0x00000002245CDC00 - } - } - }, - - Package (0x04) - { - "PSTATE", - 0x0B, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_MDP0", - "ICBID_SLAVE_MNOC_HF_MEM_NOC", - 0x000000023C346000, - 0x000000020C855800 - } - }, - - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_MNOC_HF_MEM_NOC", - "ICBID_SLAVE_EBI1", - 0x2FAF0800, - 0x000000020C855800 - } - } - }, - - Package (0x04) - { - "PSTATE", - 0x0C, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_MDP0", - "ICBID_SLAVE_MNOC_HF_MEM_NOC", - 0x000000023C346000, - 0x00000001F4ADD400 - } - }, - - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_MNOC_HF_MEM_NOC", - "ICBID_SLAVE_EBI1", - 0x2FAF0800, - 0x00000001F4ADD400 - } - } - }, - - Package (0x04) - { - "PSTATE", - 0x0D, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_MDP0", - "ICBID_SLAVE_MNOC_HF_MEM_NOC", - 0x000000023C346000, - 0x00000001DCD65000 - } - }, - - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_MNOC_HF_MEM_NOC", - "ICBID_SLAVE_EBI1", - 0x2FAF0800, - 0x00000001DCD65000 - } - } - }, - - Package (0x04) - { - "PSTATE", - 0x0E, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_MDP0", - "ICBID_SLAVE_MNOC_HF_MEM_NOC", - 0x000000023C346000, - 0x00000001C4FECC00 - } - }, - - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_MNOC_HF_MEM_NOC", - "ICBID_SLAVE_EBI1", - 0x2FAF0800, - 0x00000001C4FECC00 - } - } - }, - - Package (0x04) - { - "PSTATE", - 0x0F, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_MDP0", - "ICBID_SLAVE_MNOC_HF_MEM_NOC", - 0x000000023C346000, - 0x00000001AD274800 - } - }, - - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_MNOC_HF_MEM_NOC", - "ICBID_SLAVE_EBI1", - 0x2FAF0800, - 0x00000001AD274800 - } - } - }, - - Package (0x04) - { - "PSTATE", - 0x10, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_MDP0", - "ICBID_SLAVE_MNOC_HF_MEM_NOC", - 0x000000023C346000, - 0x00000001954FC400 - } - }, - - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_MNOC_HF_MEM_NOC", - "ICBID_SLAVE_EBI1", - 0x2FAF0800, - 0x00000001954FC400 - } - } - }, - - Package (0x04) - { - "PSTATE", - 0x11, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_MDP0", - "ICBID_SLAVE_MNOC_HF_MEM_NOC", - 0x000000023C346000, - 0x000000017D784000 - } - }, - - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_MNOC_HF_MEM_NOC", - "ICBID_SLAVE_EBI1", - 0x2FAF0800, - 0x000000017D784000 - } - } - }, - - Package (0x04) - { - "PSTATE", - 0x12, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_MDP0", - "ICBID_SLAVE_MNOC_HF_MEM_NOC", - 0x000000023C346000, - 0x0000000165A0BC00 - } - }, - - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_MNOC_HF_MEM_NOC", - "ICBID_SLAVE_EBI1", - 0x2FAF0800, - 0x0000000165A0BC00 - } - } - }, - - Package (0x04) - { - "PSTATE", - 0x13, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_MDP0", - "ICBID_SLAVE_MNOC_HF_MEM_NOC", - 0x000000023C346000, - 0x000000014DC93800 - } - }, - - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_MNOC_HF_MEM_NOC", - "ICBID_SLAVE_EBI1", - 0x2FAF0800, - 0x000000014DC93800 - } - } - }, - - Package (0x04) - { - "PSTATE", - 0x14, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_MDP0", - "ICBID_SLAVE_MNOC_HF_MEM_NOC", - 0x000000023C346000, - 0x0000000135F1B400 - } - }, - - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_MNOC_HF_MEM_NOC", - "ICBID_SLAVE_EBI1", - 0x2FAF0800, - 0x0000000135F1B400 - } - } - }, - - Package (0x04) - { - "PSTATE", - 0x15, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_MDP0", - "ICBID_SLAVE_MNOC_HF_MEM_NOC", - 0x000000023C346000, - 0x000000011E1A3000 - } - }, - - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_MNOC_HF_MEM_NOC", - "ICBID_SLAVE_EBI1", - 0x2FAF0800, - 0x000000011E1A3000 - } - } - }, - - Package (0x04) - { - "PSTATE", - 0x16, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_MDP0", - "ICBID_SLAVE_MNOC_HF_MEM_NOC", - 0x000000023C346000, - 0x000000010642AC00 - } - }, - - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_MNOC_HF_MEM_NOC", - "ICBID_SLAVE_EBI1", - 0x2FAF0800, - 0x000000010642AC00 - } - } - }, - - Package (0x04) - { - "PSTATE", - 0x17, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_MDP0", - "ICBID_SLAVE_MNOC_HF_MEM_NOC", - 0x000000023C346000, - 0xEE6B2800 - } - }, - - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_MNOC_HF_MEM_NOC", - "ICBID_SLAVE_EBI1", - 0x2FAF0800, - 0xEE6B2800 - } - } - }, - - Package (0x04) - { - "PSTATE", - 0x18, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_MDP0", - "ICBID_SLAVE_MNOC_HF_MEM_NOC", - 0x000000023C346000, - 0xD693A400 - } - }, - - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_MNOC_HF_MEM_NOC", - "ICBID_SLAVE_EBI1", - 0x2FAF0800, - 0xD693A400 - } - } - }, - - Package (0x04) - { - "PSTATE", - 0x19, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_MDP0", - "ICBID_SLAVE_MNOC_HF_MEM_NOC", - 0x000000023C346000, - 0xBEBC2000 - } - }, - - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_MNOC_HF_MEM_NOC", - "ICBID_SLAVE_EBI1", - 0x2FAF0800, - 0xBEBC2000 - } - } - }, - - Package (0x04) - { - "PSTATE", - 0x1A, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_MDP0", - "ICBID_SLAVE_MNOC_HF_MEM_NOC", - 0x00000001F4ADD400, - 0xA6E49C00 - } - }, - - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_MNOC_HF_MEM_NOC", - "ICBID_SLAVE_EBI1", - 0x2FAF0800, - 0xA6E49C00 - } - } - }, - - Package (0x04) - { - "PSTATE", - 0x1B, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_MDP0", - "ICBID_SLAVE_MNOC_HF_MEM_NOC", - 0x00000001AD274800, - 0x8F0D1800 - } - }, - - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_MNOC_HF_MEM_NOC", - "ICBID_SLAVE_EBI1", - 0x2FAF0800, - 0x8F0D1800 - } - } - }, - - Package (0x04) - { - "PSTATE", - 0x1C, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_MDP0", - "ICBID_SLAVE_MNOC_HF_MEM_NOC", - 0x0000000165A0BC00, - 0x77359400 - } - }, - - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_MNOC_HF_MEM_NOC", - "ICBID_SLAVE_EBI1", - 0x2FAF0800, - 0x77359400 - } - } - }, - - Package (0x04) - { - "PSTATE", - 0x1D, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_MDP0", - "ICBID_SLAVE_MNOC_HF_MEM_NOC", - 0x000000011E1A3000, - 0x5F5E1000 - } - }, - - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_MNOC_HF_MEM_NOC", - "ICBID_SLAVE_EBI1", - 0x2FAF0800, - 0x5F5E1000 - } - } - }, - - Package (0x04) - { - "PSTATE", - 0x1E, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_MDP0", - "ICBID_SLAVE_MNOC_HF_MEM_NOC", - 0x000000011E1A3000, - 0x59682F00 - } - }, - - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_MNOC_HF_MEM_NOC", - "ICBID_SLAVE_EBI1", - 0x2FAF0800, - 0x59682F00 - } - } - }, - - Package (0x04) - { - "PSTATE", - 0x1F, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_MDP0", - "ICBID_SLAVE_MNOC_HF_MEM_NOC", - 0x000000011E1A3000, - 0x53724E00 - } - }, - - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_MNOC_HF_MEM_NOC", - "ICBID_SLAVE_EBI1", - 0x2FAF0800, - 0x53724E00 - } - } - }, - - Package (0x04) - { - "PSTATE", - 0x20, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_MDP0", - "ICBID_SLAVE_MNOC_HF_MEM_NOC", - 0x000000011E1A3000, - 0x4D7C6D00 - } - }, - - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_MNOC_HF_MEM_NOC", - "ICBID_SLAVE_EBI1", - 0x2FAF0800, - 0x4D7C6D00 - } - } - }, - - Package (0x04) - { - "PSTATE", - 0x21, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_MDP0", - "ICBID_SLAVE_MNOC_HF_MEM_NOC", - 0x000000011E1A3000, - 0x47868C00 - } - }, - - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_MNOC_HF_MEM_NOC", - "ICBID_SLAVE_EBI1", - 0x2FAF0800, - 0x47868C00 - } - } - }, - - Package (0x04) - { - "PSTATE", - 0x22, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_MDP0", - "ICBID_SLAVE_MNOC_HF_MEM_NOC", - 0x000000011E1A3000, - 0x4190AB00 - } - }, - - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_MNOC_HF_MEM_NOC", - "ICBID_SLAVE_EBI1", - 0x2FAF0800, - 0x4190AB00 - } - } - }, - - Package (0x04) - { - "PSTATE", - 0x23, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_MDP0", - "ICBID_SLAVE_MNOC_HF_MEM_NOC", - 0x000000011E1A3000, - 0x3B9ACA00 - } - }, - - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_MNOC_HF_MEM_NOC", - "ICBID_SLAVE_EBI1", - 0x2FAF0800, - 0x3B9ACA00 - } - } - }, - - Package (0x04) - { - "PSTATE", - 0x24, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_MDP0", - "ICBID_SLAVE_MNOC_HF_MEM_NOC", - 0x000000011E1A3000, - 0x35A4E900 - } - }, - - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_MNOC_HF_MEM_NOC", - "ICBID_SLAVE_EBI1", - 0x2FAF0800, - 0x35A4E900 - } - } - }, - - Package (0x04) - { - "PSTATE", - 0x25, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_MDP0", - "ICBID_SLAVE_MNOC_HF_MEM_NOC", - 0x000000011E1A3000, - 0x2FAF0800 - } - }, - - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_MNOC_HF_MEM_NOC", - "ICBID_SLAVE_EBI1", - 0x2FAF0800, - 0x2FAF0800 - } - } - }, - - Package (0x04) - { - "PSTATE", - 0x26, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_MDP0", - "ICBID_SLAVE_MNOC_HF_MEM_NOC", - 0x000000011E1A3000, - 0x29B92700 - } - }, - - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_MNOC_HF_MEM_NOC", - "ICBID_SLAVE_EBI1", - 0x2FAF0800, - 0x29B92700 - } - } - }, - - Package (0x04) - { - "PSTATE", - 0x27, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_MDP0", - "ICBID_SLAVE_MNOC_HF_MEM_NOC", - 0x000000011E1A3000, - 0x23C34600 - } - }, - - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_MNOC_HF_MEM_NOC", - "ICBID_SLAVE_EBI1", - 0x2FAF0800, - 0x23C34600 - } - } - }, - - Package (0x04) - { - "PSTATE", - 0x28, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_MDP0", - "ICBID_SLAVE_MNOC_HF_MEM_NOC", - 0x000000011E1A3000, - 0x1DCD6500 - } - }, - - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_MNOC_HF_MEM_NOC", - "ICBID_SLAVE_EBI1", - 0x2FAF0800, - 0x1DCD6500 - } - } - }, - - Package (0x04) - { - "PSTATE", - 0x29, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_MDP0", - "ICBID_SLAVE_MNOC_HF_MEM_NOC", - 0x000000011E1A3000, - 0x17D78400 - } - }, - - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_MNOC_HF_MEM_NOC", - "ICBID_SLAVE_EBI1", - 0x2FAF0800, - 0x17D78400 - } - } - }, - - Package (0x04) - { - "PSTATE", - 0x2A, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_MDP0", - "ICBID_SLAVE_MNOC_HF_MEM_NOC", - Zero, - Zero - } - }, - - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_MNOC_HF_MEM_NOC", - "ICBID_SLAVE_EBI1", - Zero, - Zero - } - } - }, - - Package (0x02) - { - "PRELOAD_PSTATE", - 0x1F - }, - - Package (0x02) - { - "PREPARE_PSTATE", - 0x1F - }, - - Package (0x02) - { - "ABANDON_PSTATE", - 0x1F - } - } - } - } - }) - Return (GPCC) /* \_SB_.PEP0.G0MD.GPCC */ - } - - Method (G1MD, 0, NotSerialized) - { - Name (GPCC, Package (0x01) - { - Package (0x03) - { - "DEVICE", - "\\_SB.GPU0", - Package (0x0B) - { - "COMPONENT", - One, - Package (0x03) - { - "FSTATE", - Zero, - Package (0x08) - { - "ENTER", - Package (0x02) - { - "PSTATE_ADJUST", - Package (0x02) - { - One, - One - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gpu_cc_cxo_clk", - One - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gpu_cc_cx_gmu_clk", - One - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_gpu_memnoc_gfx_clk", - One - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gpu_cc_gx_gfx3d_clk", - One - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x04) - { - "gpu_cc_cx_gmu_clk", - 0x03, - 0x0BEBC200, - 0x03 - } - }, - - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_GFX3D", - "ICBID_SLAVE_EBI1", - One, - Zero - } - } - } - }, - - Package (0x03) - { - "FSTATE", - One, - Package (0x06) - { - "ENTER", - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gpu_cc_gx_gfx3d_clk", - 0x02 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_gpu_memnoc_gfx_clk", - 0x02 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gpu_cc_cx_gmu_clk", - 0x02 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gpu_cc_cxo_clk", - 0x02 - } - }, - - Package (0x02) - { - "PSTATE_ADJUST", - Package (0x02) - { - One, - 0x02 - } - } - } - }, - - Package (0x03) - { - "FSTATE", - 0x02, - Package (0x07) - { - "ENTER", - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_GFX3D", - "ICBID_SLAVE_EBI1", - Zero, - Zero - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gpu_cc_gx_gfx3d_clk", - 0x02 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_gpu_memnoc_gfx_clk", - 0x02 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gpu_cc_cx_gmu_clk", - 0x02 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gpu_cc_cxo_clk", - 0x02 - } - }, - - Package (0x02) - { - "PSTATE_ADJUST", - Package (0x02) - { - One, - 0x02 - } - } - } - }, - - Package (0x02) - { - "INIT_FSTATE", - One - }, - - Package (0x02) - { - "PRELOAD_FSTATE", - One - }, - - Package (0x02) - { - "ABANDON_FSTATE", - One - }, - - Package (0x07) - { - "PSTATE_SET", - Zero, - Package (0x02) - { - "PSTATE", - Zero - }, - - Package (0x05) - { - "PSTATE", - One, - Package (0x02) - { - "PSTATE_ADJUST", - Package (0x02) - { - One, - 0x02 - } - }, - - Package (0x02) - { - "DELAY", - Package (0x01) - { - One - } - }, - - Package (0x02) - { - "PSTATE_ADJUST", - Package (0x02) - { - One, - One - } - } - }, - - Package (0x02) - { - "PRELOAD_PSTATE", - Zero - }, - - Package (0x02) - { - "PREPARE_PSTATE", - Zero - }, - - Package (0x02) - { - "ABANDON_PSTATE", - Zero - } - }, - - Package (0x08) - { - "PSTATE_SET", - One, - Package (0x02) - { - "PSTATE", - Zero - }, - - Package (0x03) - { - "PSTATE", - One, - Package (0x02) - { - "FOOTSWITCH", - Package (0x02) - { - "cx_gdsc", - One - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x02, - Package (0x02) - { - "FOOTSWITCH", - Package (0x02) - { - "cx_gdsc", - 0x02 - } - } - }, - - Package (0x02) - { - "PRELOAD_PSTATE", - Zero - }, - - Package (0x02) - { - "PREPARE_PSTATE", - Zero - }, - - Package (0x02) - { - "ABANDON_PSTATE", - Zero - } - }, - - Package (0x07) - { - "PSTATE_SET", - 0x02, - Package (0x02) - { - "PSTATE", - Zero - }, - - Package (0x02) - { - "PSTATE", - One - }, - - Package (0x02) - { - "PRELOAD_PSTATE", - One - }, - - Package (0x02) - { - "PREPARE_PSTATE", - One - }, - - Package (0x02) - { - "ABANDON_PSTATE", - One - } - } - } - } - }) - Return (GPCC) /* \_SB_.PEP0.G1MD.GPCC */ - } - - Method (G2MD, 0, NotSerialized) - { - Name (GPCC, Package (0x01) - { - Package (0x03) - { - "DEVICE", - "\\_SB.GPU0", - Package (0x0C) - { - "COMPONENT", - 0x02, - Package (0x02) - { - "FSTATE", - Zero - }, - - Package (0x04) - { - "FSTATE", - One, - Package (0x0B) - { - "ENTER", - Package (0x01) - { - "PSTATE_SAVE" - }, - - Package (0x02) - { - "PSTATE_ADJUST", - Package (0x02) - { - 0x02, - 0x0C - } - }, - - Package (0x02) - { - "PSTATE_ADJUST", - Package (0x02) - { - 0x03, - 0x31 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "disp_cc_mdss_rscc_vsync_clk", - 0x02 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "disp_cc_mdss_rscc_ahb_clk", - 0x02 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "disp_cc_mdss_vsync_clk", - 0x02 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "disp_cc_mdss_mdp_clk", - 0x02 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "disp_cc_mdss_axi_clk", - 0x02 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "disp_cc_mdss_ahb_clk", - 0x02 - } - }, - - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_APPSS_PROC", - "ICBID_SLAVE_DISPLAY_CFG", - Zero, - Zero - } - } - }, - - Package (0x09) - { - "EXIT", - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_APPSS_PROC", - "ICBID_SLAVE_DISPLAY_CFG", - 0x047868C0, - Zero - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "disp_cc_mdss_ahb_clk", - One - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "disp_cc_mdss_axi_clk", - One - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "disp_cc_mdss_mdp_clk", - One - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "disp_cc_mdss_vsync_clk", - One - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "disp_cc_mdss_rscc_ahb_clk", - One - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "disp_cc_mdss_rscc_vsync_clk", - One - } - }, - - Package (0x01) - { - "PSTATE_RESTORE" - } - } - }, - - Package (0x04) - { - "FSTATE", - 0x02, - Package (0x0F) - { - "ENTER", - Package (0x01) - { - "PSTATE_SAVE" - }, - - Package (0x02) - { - "PSTATE_ADJUST", - Package (0x02) - { - 0x02, - 0x0C - } - }, - - Package (0x02) - { - "PSTATE_ADJUST", - Package (0x02) - { - 0x03, - 0x31 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "disp_cc_mdss_rscc_vsync_clk", - 0x02 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "disp_cc_mdss_rscc_ahb_clk", - 0x02 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "disp_cc_mdss_vsync_clk", - 0x02 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "disp_cc_mdss_mdp_clk", - 0x02 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "disp_cc_mdss_axi_clk", - 0x02 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_disp_axi_clk", - 0x02 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "disp_cc_mdss_ahb_clk", - 0x02 - } - }, - - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_APPSS_PROC", - "ICBID_SLAVE_DISPLAY_CFG", - Zero, - Zero - } - }, - - Package (0x02) - { - "FOOTSWITCH", - Package (0x02) - { - "mdss_core_gdsc", - 0x02 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_disp_ahb_clk", - 0x02 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_disp_xo_clk", - 0x02 - } - } - }, - - Package (0x0D) - { - "EXIT", - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_disp_xo_clk", - One - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_disp_ahb_clk", - One - } - }, - - Package (0x02) - { - "FOOTSWITCH", - Package (0x02) - { - "mdss_core_gdsc", - One - } - }, - - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_APPSS_PROC", - "ICBID_SLAVE_DISPLAY_CFG", - 0x047868C0, - Zero - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_disp_axi_clk", - One - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "disp_cc_mdss_axi_clk", - One - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "disp_cc_mdss_ahb_clk", - One - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "disp_cc_mdss_mdp_clk", - One - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "disp_cc_mdss_vsync_clk", - One - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "disp_cc_mdss_rscc_ahb_clk", - One - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "disp_cc_mdss_rscc_vsync_clk", - One - } - }, - - Package (0x01) - { - "PSTATE_RESTORE" - } - } - }, - - Package (0x02) - { - "INIT_FSTATE", - Zero - }, - - Package (0x02) - { - "PRELOAD_FSTATE", - 0x02 - }, - - Package (0x02) - { - "ABANDON_FSTATE", - 0x02 - }, - - Package (0x07) - { - "PSTATE_SET", - Zero, - Package (0x02) - { - "PSTATE", - Zero - }, - - Package (0x02) - { - "PSTATE", - One - }, - - Package (0x02) - { - "PRELOAD_PSTATE", - Zero - }, - - Package (0x02) - { - "PREPARE_PSTATE", - Zero - }, - - Package (0x02) - { - "ABANDON_PSTATE", - Zero - } - }, - - Package (0x04) - { - "PSTATE_SET", - One, - Package (0x05) - { - "PSTATE", - Zero, - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_disp_xo_clk", - One - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_disp_ahb_clk", - One - } - }, - - Package (0x02) - { - "FOOTSWITCH", - Package (0x02) - { - "mdss_core_gdsc", - One - } - } - }, - - Package (0x05) - { - "PSTATE", - One, - Package (0x02) - { - "FOOTSWITCH", - Package (0x02) - { - "mdss_core_gdsc", - 0x02 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_disp_ahb_clk", - 0x02 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_disp_xo_clk", - 0x02 - } - } - } - }, - - Package (0x12) - { - "PSTATE_SET", - 0x02, - Package (0x04) - { - "PSTATE", - Zero, - Package (0x02) - { - "CLOCK", - Package (0x04) - { - "disp_cc_mdss_mdp_clk", - 0x03, - 0x19A14780, - One - } - }, - - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_APPSS_PROC", - "ICBID_SLAVE_DISPLAY_CFG", - 0x11C31E80, - Zero - } - } - }, - - Package (0x04) - { - "PSTATE", - One, - Package (0x02) - { - "CLOCK", - Package (0x04) - { - "disp_cc_mdss_mdp_clk", - 0x03, - 0x18964020, - One - } - }, - - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_APPSS_PROC", - "ICBID_SLAVE_DISPLAY_CFG", - 0x11C31E80, - Zero - } - } - }, - - Package (0x04) - { - "PSTATE", - 0x02, - Package (0x02) - { - "CLOCK", - Package (0x04) - { - "disp_cc_mdss_mdp_clk", - 0x03, - 0x14810600, - One - } - }, - - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_APPSS_PROC", - "ICBID_SLAVE_DISPLAY_CFG", - 0x08D24D00, - Zero - } - } - }, - - Package (0x04) - { - "PSTATE", - 0x03, - Package (0x02) - { - "CLOCK", - Package (0x04) - { - "disp_cc_mdss_mdp_clk", - 0x03, - 0x11E1A300, - One - } - }, - - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_APPSS_PROC", - "ICBID_SLAVE_DISPLAY_CFG", - 0x08D24D00, - Zero - } - } - }, - - Package (0x04) - { - "PSTATE", - 0x04, - Package (0x02) - { - "CLOCK", - Package (0x04) - { - "disp_cc_mdss_mdp_clk", - 0x03, - 0x10642AC0, - One - } - }, - - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_APPSS_PROC", - "ICBID_SLAVE_DISPLAY_CFG", - 0x08D24D00, - Zero - } - } - }, - - Package (0x04) - { - "PSTATE", - 0x05, - Package (0x02) - { - "CLOCK", - Package (0x04) - { - "disp_cc_mdss_mdp_clk", - 0x03, - 0x0BEBC200, - One - } - }, - - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_APPSS_PROC", - "ICBID_SLAVE_DISPLAY_CFG", - 0x08D24D00, - Zero - } - } - }, - - Package (0x04) - { - "PSTATE", - 0x06, - Package (0x02) - { - "CLOCK", - Package (0x04) - { - "disp_cc_mdss_mdp_clk", - 0x03, - 0x0A37CADB, - One - } - }, - - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_APPSS_PROC", - "ICBID_SLAVE_DISPLAY_CFG", - 0x047868C0, - Zero - } - } - }, - - Package (0x04) - { - "PSTATE", - 0x07, - Package (0x02) - { - "CLOCK", - Package (0x04) - { - "disp_cc_mdss_mdp_clk", - 0x03, - 0x09D5B340, - One - } - }, - - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_APPSS_PROC", - "ICBID_SLAVE_DISPLAY_CFG", - 0x047868C0, - Zero - } - } - }, - - Package (0x04) - { - "PSTATE", - 0x08, - Package (0x02) - { - "CLOCK", - Package (0x04) - { - "disp_cc_mdss_mdp_clk", - 0x03, - 0x08F0D180, - One - } - }, - - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_APPSS_PROC", - "ICBID_SLAVE_DISPLAY_CFG", - 0x047868C0, - Zero - } - } - }, - - Package (0x04) - { - "PSTATE", - 0x09, - Package (0x02) - { - "CLOCK", - Package (0x04) - { - "disp_cc_mdss_mdp_clk", - 0x03, - 0x05F5E100, - One - } - }, - - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_APPSS_PROC", - "ICBID_SLAVE_DISPLAY_CFG", - 0x047868C0, - Zero - } - } - }, - - Package (0x04) - { - "PSTATE", - 0x0A, - Package (0x02) - { - "CLOCK", - Package (0x04) - { - "disp_cc_mdss_mdp_clk", - 0x03, - 0x051BD4B0, - One - } - }, - - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_APPSS_PROC", - "ICBID_SLAVE_DISPLAY_CFG", - 0x047868C0, - Zero - } - } - }, - - Package (0x04) - { - "PSTATE", - 0x0B, - Package (0x02) - { - "CLOCK", - Package (0x04) - { - "disp_cc_mdss_mdp_clk", - 0x03, - 0x0124F800, - One - } - }, - - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_APPSS_PROC", - "ICBID_SLAVE_DISPLAY_CFG", - 0x047868C0, - Zero - } - } - }, - - Package (0x04) - { - "PSTATE", - 0x0C, - Package (0x02) - { - "CLOCK", - Package (0x04) - { - "disp_cc_mdss_mdp_clk", - 0x03, - Zero, - One - } - }, - - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_APPSS_PROC", - "ICBID_SLAVE_DISPLAY_CFG", - Zero, - Zero - } - } - }, - - Package (0x02) - { - "PRELOAD_PSTATE", - 0x08 - }, - - Package (0x02) - { - "PREPARE_PSTATE", - 0x08 - }, - - Package (0x02) - { - "ABANDON_PSTATE", - 0x08 - } - }, - - Package (0x34) - { - "PSTATE_SET", - 0x03, - Package (0x03) - { - "PSTATE", - Zero, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_MDP0", - "ICBID_SLAVE_EBI1", - 0x000000031A4A9F80, - 0x000000031A4A9F80 - } - } - }, - - Package (0x03) - { - "PSTATE", - One, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_MDP0", - "ICBID_SLAVE_EBI1", - 0x0000000302731B80, - 0x0000000302731B80 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x02, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_MDP0", - "ICBID_SLAVE_EBI1", - 0x00000002EA9B9780, - 0x00000002EA9B9780 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x03, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_MDP0", - "ICBID_SLAVE_EBI1", - 0x00000002D2C41380, - 0x00000002D2C41380 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x04, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_MDP0", - "ICBID_SLAVE_EBI1", - 0x00000002BAEC8F80, - 0x00000002BAEC8F80 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x05, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_MDP0", - "ICBID_SLAVE_EBI1", - 0x00000002A3150B80, - 0x00000002A3150B80 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x06, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_MDP0", - "ICBID_SLAVE_EBI1", - 0x000000028B3D8780, - 0x000000028B3D8780 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x07, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_MDP0", - "ICBID_SLAVE_EBI1", - 0x0000000273660380, - 0x0000000273660380 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x08, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_MDP0", - "ICBID_SLAVE_EBI1", - 0x000000025B8E7F80, - 0x000000025B8E7F80 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x09, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_MDP0", - "ICBID_SLAVE_EBI1", - 0x0000000243B6FB80, - 0x0000000243B6FB80 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x0A, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_MDP0", - "ICBID_SLAVE_EBI1", - 0x000000022BDF7780, - 0x000000022BDF7780 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x0B, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_MDP0", - "ICBID_SLAVE_EBI1", - 0x000000021407F380, - 0x000000021407F380 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x0C, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_MDP0", - "ICBID_SLAVE_EBI1", - 0x00000001FC306F80, - 0x00000001FC306F80 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x0D, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_MDP0", - "ICBID_SLAVE_EBI1", - 0x00000001E458EB80, - 0x00000001E458EB80 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x0E, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_MDP0", - "ICBID_SLAVE_EBI1", - 0x00000001CC816780, - 0x00000001CC816780 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x0F, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_MDP0", - "ICBID_SLAVE_EBI1", - 0x00000001B4A9E380, - 0x00000001B4A9E380 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x10, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_MDP0", - "ICBID_SLAVE_EBI1", - 0x000000019CD25F80, - 0x000000019CD25F80 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x11, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_MDP0", - "ICBID_SLAVE_EBI1", - 0x0000000184FADB80, - 0x0000000184FADB80 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x12, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_MDP0", - "ICBID_SLAVE_EBI1", - 0x000000016D235780, - 0x000000016D235780 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x13, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_MDP0", - "ICBID_SLAVE_EBI1", - 0x00000001554BD380, - 0x00000001554BD380 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x14, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_MDP0", - "ICBID_SLAVE_EBI1", - 0x000000013D744F80, - 0x000000013D744F80 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x15, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_MDP0", - "ICBID_SLAVE_EBI1", - 0x00000001259CCB80, - 0x00000001259CCB80 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x16, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_MDP0", - "ICBID_SLAVE_EBI1", - 0x000000010DC54780, - 0x000000010DC54780 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x17, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_MDP0", - "ICBID_SLAVE_EBI1", - 0xF5EDC380, - 0xF5EDC380 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x18, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_MDP0", - "ICBID_SLAVE_EBI1", - 0xDE163F80, - 0xDE163F80 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x19, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_MDP0", - "ICBID_SLAVE_EBI1", - 0xC63EBB80, - 0xC63EBB80 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x1A, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_MDP0", - "ICBID_SLAVE_EBI1", - 0xAE673780, - 0xAE673780 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x1B, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_MDP0", - "ICBID_SLAVE_EBI1", - 0x968FB380, - 0x968FB380 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x1C, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_MDP0", - "ICBID_SLAVE_EBI1", - 0x8F0D1800, - 0x8F0D1800 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x1D, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_MDP0", - "ICBID_SLAVE_EBI1", - 0x89173700, - 0x89173700 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x1E, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_MDP0", - "ICBID_SLAVE_EBI1", - 0x83215600, - 0x83215600 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x1F, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_MDP0", - "ICBID_SLAVE_EBI1", - 0x7D2B7500, - 0x7D2B7500 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x20, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_MDP0", - "ICBID_SLAVE_EBI1", - 0x77359400, - 0x77359400 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x21, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_MDP0", - "ICBID_SLAVE_EBI1", - 0x713FB300, - 0x713FB300 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x22, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_MDP0", - "ICBID_SLAVE_EBI1", - 0x6B49D200, - 0x6B49D200 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x23, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_MDP0", - "ICBID_SLAVE_EBI1", - 0x6553F100, - 0x6553F100 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x24, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_MDP0", - "ICBID_SLAVE_EBI1", - 0x5F5E1000, - 0x5F5E1000 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x25, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_MDP0", - "ICBID_SLAVE_EBI1", - 0x59682F00, - 0x59682F00 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x26, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_MDP0", - "ICBID_SLAVE_EBI1", - 0x53724E00, - 0x53724E00 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x27, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_MDP0", - "ICBID_SLAVE_EBI1", - 0x4D7C6D00, - 0x4D7C6D00 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x28, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_MDP0", - "ICBID_SLAVE_EBI1", - 0x47868C00, - 0x47868C00 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x29, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_MDP0", - "ICBID_SLAVE_EBI1", - 0x4190AB00, - 0x4190AB00 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x2A, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_MDP0", - "ICBID_SLAVE_EBI1", - 0x3B9ACA00, - 0x3B9ACA00 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x2B, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_MDP0", - "ICBID_SLAVE_EBI1", - 0x35A4E900, - 0x35A4E900 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x2C, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_MDP0", - "ICBID_SLAVE_EBI1", - 0x2FAF0800, - 0x2FAF0800 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x2D, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_MDP0", - "ICBID_SLAVE_EBI1", - 0x29B92700, - 0x29B92700 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x2E, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_MDP0", - "ICBID_SLAVE_EBI1", - 0x23C34600, - 0x23C34600 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x2F, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_MDP0", - "ICBID_SLAVE_EBI1", - 0x1DCD6500, - 0x1DCD6500 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x30, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_MDP0", - "ICBID_SLAVE_EBI1", - 0x17D78400, - 0x17D78400 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x31, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_MDP0", - "ICBID_SLAVE_EBI1", - Zero, - Zero - } - } - } - } - } - } - }) - Return (GPCC) /* \_SB_.PEP0.G2MD.GPCC */ - } - - Method (G3MD, 0, NotSerialized) - { - Name (GPCC, Package (0x01) - { - Package (0x03) - { - "DEVICE", - "\\_SB.GPU0", - Package (0x0C) - { - "COMPONENT", - 0x03, - Package (0x02) - { - "FSTATE", - Zero - }, - - Package (0x04) - { - "FSTATE", - One, - Package (0x0C) - { - "ENTER", - Package (0x01) - { - "PSTATE_SAVE" - }, - - Package (0x02) - { - "PSTATE_ADJUST", - Package (0x02) - { - 0x02, - 0x07 - } - }, - - Package (0x02) - { - "PSTATE_ADJUST", - Package (0x02) - { - 0x03, - 0x31 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "disp_cc_mdss_rscc_vsync_clk", - 0x02 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "disp_cc_mdss_rscc_ahb_clk", - 0x02 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "disp_cc_mdss_vsync_clk", - 0x02 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "disp_cc_mdss_mdp_clk", - 0x02 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "disp_cc_mdss_axi_clk", - 0x02 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "disp_cc_mdss_rot_clk", - 0x02 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "disp_cc_mdss_ahb_clk", - 0x02 - } - }, - - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_APPSS_PROC", - "ICBID_SLAVE_DISPLAY_CFG", - Zero, - Zero - } - } - }, - - Package (0x0B) - { - "EXIT", - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_APPSS_PROC", - "ICBID_SLAVE_DISPLAY_CFG", - 0x047868C0, - Zero - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "disp_cc_mdss_ahb_clk", - One - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "disp_cc_mdss_axi_clk", - One - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "disp_cc_mdss_mdp_clk", - One - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "disp_cc_mdss_vsync_clk", - One - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "disp_cc_mdss_rscc_ahb_clk", - One - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "disp_cc_mdss_rscc_vsync_clk", - One - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "disp_cc_mdss_rot_clk", - One - } - }, - - Package (0x01) - { - "PSTATE_RESTORE" - }, - - Package (0x02) - { - "PSTATE_ADJUST", - Package (0x02) - { - 0x02, - 0x04 - } - } - } - }, - - Package (0x04) - { - "FSTATE", - 0x02, - Package (0x10) - { - "ENTER", - Package (0x01) - { - "PSTATE_SAVE" - }, - - Package (0x02) - { - "PSTATE_ADJUST", - Package (0x02) - { - 0x02, - 0x07 - } - }, - - Package (0x02) - { - "PSTATE_ADJUST", - Package (0x02) - { - 0x03, - 0x31 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "disp_cc_mdss_rscc_vsync_clk", - 0x02 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "disp_cc_mdss_rscc_ahb_clk", - 0x02 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "disp_cc_mdss_vsync_clk", - 0x02 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "disp_cc_mdss_mdp_clk", - 0x02 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "disp_cc_mdss_axi_clk", - 0x02 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_disp_axi_clk", - 0x02 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "disp_cc_mdss_rot_clk", - 0x02 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "disp_cc_mdss_ahb_clk", - 0x02 - } - }, - - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_APPSS_PROC", - "ICBID_SLAVE_DISPLAY_CFG", - Zero, - Zero - } - }, - - Package (0x02) - { - "FOOTSWITCH", - Package (0x02) - { - "mdss_core_gdsc", - 0x02 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_disp_ahb_clk", - 0x02 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_disp_xo_clk", - 0x02 - } - } - }, - - Package (0x0F) - { - "EXIT", - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_disp_xo_clk", - One - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_disp_ahb_clk", - One - } - }, - - Package (0x02) - { - "FOOTSWITCH", - Package (0x02) - { - "mdss_core_gdsc", - One - } - }, - - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_APPSS_PROC", - "ICBID_SLAVE_DISPLAY_CFG", - 0x047868C0, - Zero - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "disp_cc_mdss_ahb_clk", - One - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_disp_axi_clk", - One - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "disp_cc_mdss_axi_clk", - One - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "disp_cc_mdss_mdp_clk", - One - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "disp_cc_mdss_vsync_clk", - One - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "disp_cc_mdss_rscc_ahb_clk", - One - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "disp_cc_mdss_rscc_vsync_clk", - One - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "disp_cc_mdss_rot_clk", - One - } - }, - - Package (0x01) - { - "PSTATE_RESTORE" - }, - - Package (0x02) - { - "PSTATE_ADJUST", - Package (0x02) - { - 0x02, - 0x04 - } - } - } - }, - - Package (0x02) - { - "INIT_FSTATE", - Zero - }, - - Package (0x02) - { - "PRELOAD_FSTATE", - 0x02 - }, - - Package (0x02) - { - "ABANDON_FSTATE", - 0x02 - }, - - Package (0x07) - { - "PSTATE_SET", - Zero, - Package (0x02) - { - "PSTATE", - Zero - }, - - Package (0x02) - { - "PSTATE", - One - }, - - Package (0x02) - { - "PRELOAD_PSTATE", - Zero - }, - - Package (0x02) - { - "PREPARE_PSTATE", - Zero - }, - - Package (0x02) - { - "ABANDON_PSTATE", - Zero - } - }, - - Package (0x04) - { - "PSTATE_SET", - One, - Package (0x05) - { - "PSTATE", - Zero, - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_disp_xo_clk", - One - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_disp_ahb_clk", - One - } - }, - - Package (0x02) - { - "FOOTSWITCH", - Package (0x02) - { - "mdss_core_gdsc", - One - } - } - }, - - Package (0x05) - { - "PSTATE", - One, - Package (0x02) - { - "FOOTSWITCH", - Package (0x02) - { - "mdss_core_gdsc", - 0x02 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_disp_ahb_clk", - 0x02 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_disp_xo_clk", - 0x02 - } - } - } - }, - - Package (0x0D) - { - "PSTATE_SET", - 0x02, - Package (0x04) - { - "PSTATE", - Zero, - Package (0x02) - { - "CLOCK", - Package (0x04) - { - "disp_cc_mdss_rot_clk", - 0x03, - 0x19A14780, - One - } - }, - - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_APPSS_PROC", - "ICBID_SLAVE_DISPLAY_CFG", - 0x11C31E80, - Zero - } - } - }, - - Package (0x04) - { - "PSTATE", - One, - Package (0x02) - { - "CLOCK", - Package (0x04) - { - "disp_cc_mdss_rot_clk", - 0x03, - 0x18964020, - One - } - }, - - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_APPSS_PROC", - "ICBID_SLAVE_DISPLAY_CFG", - 0x11C31E80, - Zero - } - } - }, - - Package (0x04) - { - "PSTATE", - 0x02, - Package (0x02) - { - "CLOCK", - Package (0x04) - { - "disp_cc_mdss_rot_clk", - 0x03, - 0x14810600, - One - } - }, - - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_APPSS_PROC", - "ICBID_SLAVE_DISPLAY_CFG", - 0x08D24D00, - Zero - } - } - }, - - Package (0x04) - { - "PSTATE", - 0x03, - Package (0x02) - { - "CLOCK", - Package (0x04) - { - "disp_cc_mdss_rot_clk", - 0x03, - 0x11E1A300, - One - } - }, - - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_APPSS_PROC", - "ICBID_SLAVE_DISPLAY_CFG", - 0x08D24D00, - Zero - } - } - }, - - Package (0x04) - { - "PSTATE", - 0x04, - Package (0x02) - { - "CLOCK", - Package (0x04) - { - "disp_cc_mdss_rot_clk", - 0x03, - 0x0A37CADB, - One - } - }, - - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_APPSS_PROC", - "ICBID_SLAVE_DISPLAY_CFG", - 0x047868C0, - Zero - } - } - }, - - Package (0x04) - { - "PSTATE", - 0x05, - Package (0x02) - { - "CLOCK", - Package (0x04) - { - "disp_cc_mdss_rot_clk", - 0x03, - 0x09D5B340, - One - } - }, - - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_APPSS_PROC", - "ICBID_SLAVE_DISPLAY_CFG", - 0x047868C0, - Zero - } - } - }, - - Package (0x04) - { - "PSTATE", - 0x06, - Package (0x02) - { - "CLOCK", - Package (0x04) - { - "disp_cc_mdss_rot_clk", - 0x03, - 0x0124F800, - One - } - }, - - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_APPSS_PROC", - "ICBID_SLAVE_DISPLAY_CFG", - 0x047868C0, - Zero - } - } - }, - - Package (0x04) - { - "PSTATE", - 0x07, - Package (0x02) - { - "CLOCK", - Package (0x04) - { - "disp_cc_mdss_rot_clk", - 0x03, - Zero, - One - } - }, - - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_APPSS_PROC", - "ICBID_SLAVE_DISPLAY_CFG", - Zero, - Zero - } - } - }, - - Package (0x02) - { - "PRELOAD_PSTATE", - 0x05 - }, - - Package (0x02) - { - "PREPARE_PSTATE", - 0x05 - }, - - Package (0x02) - { - "ABANDON_PSTATE", - 0x05 - } - }, - - Package (0x34) - { - "PSTATE_SET", - 0x03, - Package (0x03) - { - "PSTATE", - Zero, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_MDP1", - "ICBID_SLAVE_EBI1", - 0x000000031A4A9F80, - 0x000000031A4A9F80 - } - } - }, - - Package (0x03) - { - "PSTATE", - One, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_MDP1", - "ICBID_SLAVE_EBI1", - 0x0000000302731B80, - 0x0000000302731B80 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x02, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_MDP1", - "ICBID_SLAVE_EBI1", - 0x00000002EA9B9780, - 0x00000002EA9B9780 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x03, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_MDP1", - "ICBID_SLAVE_EBI1", - 0x00000002D2C41380, - 0x00000002D2C41380 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x04, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_MDP1", - "ICBID_SLAVE_EBI1", - 0x00000002BAEC8F80, - 0x00000002BAEC8F80 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x05, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_MDP1", - "ICBID_SLAVE_EBI1", - 0x00000002A3150B80, - 0x00000002A3150B80 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x06, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_MDP1", - "ICBID_SLAVE_EBI1", - 0x000000028B3D8780, - 0x000000028B3D8780 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x07, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_MDP1", - "ICBID_SLAVE_EBI1", - 0x0000000273660380, - 0x0000000273660380 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x08, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_MDP1", - "ICBID_SLAVE_EBI1", - 0x000000025B8E7F80, - 0x000000025B8E7F80 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x09, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_MDP1", - "ICBID_SLAVE_EBI1", - 0x0000000243B6FB80, - 0x0000000243B6FB80 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x0A, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_MDP1", - "ICBID_SLAVE_EBI1", - 0x000000022BDF7780, - 0x000000022BDF7780 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x0B, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_MDP1", - "ICBID_SLAVE_EBI1", - 0x000000021407F380, - 0x000000021407F380 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x0C, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_MDP1", - "ICBID_SLAVE_EBI1", - 0x00000001FC306F80, - 0x00000001FC306F80 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x0D, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_MDP1", - "ICBID_SLAVE_EBI1", - 0x00000001E458EB80, - 0x00000001E458EB80 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x0E, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_MDP1", - "ICBID_SLAVE_EBI1", - 0x00000001CC816780, - 0x00000001CC816780 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x0F, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_MDP1", - "ICBID_SLAVE_EBI1", - 0x00000001B4A9E380, - 0x00000001B4A9E380 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x10, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_MDP1", - "ICBID_SLAVE_EBI1", - 0x000000019CD25F80, - 0x000000019CD25F80 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x11, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_MDP1", - "ICBID_SLAVE_EBI1", - 0x0000000184FADB80, - 0x0000000184FADB80 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x12, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_MDP1", - "ICBID_SLAVE_EBI1", - 0x000000016D235780, - 0x000000016D235780 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x13, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_MDP1", - "ICBID_SLAVE_EBI1", - 0x00000001554BD380, - 0x00000001554BD380 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x14, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_MDP1", - "ICBID_SLAVE_EBI1", - 0x000000013D744F80, - 0x000000013D744F80 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x15, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_MDP1", - "ICBID_SLAVE_EBI1", - 0x00000001259CCB80, - 0x00000001259CCB80 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x16, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_MDP1", - "ICBID_SLAVE_EBI1", - 0x000000010DC54780, - 0x000000010DC54780 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x17, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_MDP1", - "ICBID_SLAVE_EBI1", - 0xF5EDC380, - 0xF5EDC380 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x18, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_MDP1", - "ICBID_SLAVE_EBI1", - 0xDE163F80, - 0xDE163F80 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x19, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_MDP1", - "ICBID_SLAVE_EBI1", - 0xC63EBB80, - 0xC63EBB80 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x1A, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_MDP1", - "ICBID_SLAVE_EBI1", - 0xAE673780, - 0xAE673780 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x1B, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_MDP1", - "ICBID_SLAVE_EBI1", - 0x968FB380, - 0x968FB380 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x1C, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_MDP1", - "ICBID_SLAVE_EBI1", - 0x8F0D1800, - 0x8F0D1800 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x1D, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_MDP1", - "ICBID_SLAVE_EBI1", - 0x89173700, - 0x89173700 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x1E, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_MDP1", - "ICBID_SLAVE_EBI1", - 0x83215600, - 0x83215600 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x1F, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_MDP1", - "ICBID_SLAVE_EBI1", - 0x7D2B7500, - 0x7D2B7500 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x20, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_MDP1", - "ICBID_SLAVE_EBI1", - 0x77359400, - 0x77359400 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x21, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_MDP1", - "ICBID_SLAVE_EBI1", - 0x713FB300, - 0x713FB300 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x22, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_MDP1", - "ICBID_SLAVE_EBI1", - 0x6B49D200, - 0x6B49D200 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x23, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_MDP1", - "ICBID_SLAVE_EBI1", - 0x6553F100, - 0x6553F100 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x24, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_MDP1", - "ICBID_SLAVE_EBI1", - 0x5F5E1000, - 0x5F5E1000 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x25, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_MDP1", - "ICBID_SLAVE_EBI1", - 0x59682F00, - 0x59682F00 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x26, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_MDP1", - "ICBID_SLAVE_EBI1", - 0x53724E00, - 0x53724E00 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x27, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_MDP1", - "ICBID_SLAVE_EBI1", - 0x4D7C6D00, - 0x4D7C6D00 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x28, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_MDP1", - "ICBID_SLAVE_EBI1", - 0x47868C00, - 0x47868C00 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x29, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_MDP1", - "ICBID_SLAVE_EBI1", - 0x4190AB00, - 0x4190AB00 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x2A, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_MDP1", - "ICBID_SLAVE_EBI1", - 0x3B9ACA00, - 0x3B9ACA00 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x2B, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_MDP1", - "ICBID_SLAVE_EBI1", - 0x35A4E900, - 0x35A4E900 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x2C, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_MDP1", - "ICBID_SLAVE_EBI1", - 0x2FAF0800, - 0x2FAF0800 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x2D, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_MDP1", - "ICBID_SLAVE_EBI1", - 0x29B92700, - 0x29B92700 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x2E, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_MDP1", - "ICBID_SLAVE_EBI1", - 0x23C34600, - 0x23C34600 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x2F, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_MDP1", - "ICBID_SLAVE_EBI1", - 0x1DCD6500, - 0x1DCD6500 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x30, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_MDP1", - "ICBID_SLAVE_EBI1", - 0x17D78400, - 0x17D78400 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x31, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_MDP1", - "ICBID_SLAVE_EBI1", - Zero, - Zero - } - } - } - } - } - } - }) - Return (GPCC) /* \_SB_.PEP0.G3MD.GPCC */ - } - - Method (G4MD, 0, NotSerialized) - { - Name (GPCC, Package (0x01) - { - Package (0x03) - { - "DEVICE", - "\\_SB.GPU0", - Package (0x0C) - { - "COMPONENT", - 0x04, - Package (0x02) - { - "FSTATE", - Zero - }, - - Package (0x04) - { - "FSTATE", - One, - Package (0x09) - { - "ENTER", - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "video_cc_vcodec1_core_clk", - 0x02 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "video_cc_vcodec1_axi_clk", - 0x02 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "video_cc_vcodec0_core_clk", - 0x02 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "video_cc_vcodec0_axi_clk", - 0x02 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "video_cc_venus_ctl_core_clk", - 0x02 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "video_cc_venus_ctl_axi_clk", - 0x02 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "video_cc_venus_ahb_clk", - 0x02 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_video_ahb_clk", - 0x02 - } - } - }, - - Package (0x09) - { - "EXIT", - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_video_ahb_clk", - One - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "video_cc_venus_ahb_clk", - One - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "video_cc_venus_ctl_axi_clk", - One - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "video_cc_venus_ctl_core_clk", - One - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "video_cc_vcodec0_axi_clk", - One - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "video_cc_vcodec0_core_clk", - One - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "video_cc_vcodec1_axi_clk", - One - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "video_cc_vcodec1_core_clk", - One - } - } - } - }, - - Package (0x04) - { - "FSTATE", - 0x02, - Package (0x13) - { - "ENTER", - Package (0x01) - { - "PSTATE_SAVE" - }, - - Package (0x02) - { - "PSTATE_ADJUST", - Package (0x02) - { - 0x02, - 0x06 - } - }, - - Package (0x02) - { - "PSTATE_ADJUST", - Package (0x02) - { - 0x03, - 0x6E - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "video_cc_vcodec1_core_clk", - 0x02 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "video_cc_vcodec1_axi_clk", - 0x02 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "video_cc_vcodec0_core_clk", - 0x02 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "video_cc_vcodec0_axi_clk", - 0x02 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "video_cc_venus_ctl_core_clk", - 0x02 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "video_cc_venus_ctl_axi_clk", - 0x02 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "video_cc_venus_ahb_clk", - 0x02 - } - }, - - Package (0x02) - { - "FOOTSWITCH", - Package (0x02) - { - "vcodec1_gdsc", - 0x04 - } - }, - - Package (0x02) - { - "FOOTSWITCH", - Package (0x02) - { - "vcodec1_gdsc", - 0x02 - } - }, - - Package (0x02) - { - "FOOTSWITCH", - Package (0x02) - { - "vcodec0_gdsc", - 0x04 - } - }, - - Package (0x02) - { - "FOOTSWITCH", - Package (0x02) - { - "vcodec0_gdsc", - 0x02 - } - }, - - Package (0x02) - { - "FOOTSWITCH", - Package (0x02) - { - "venus_gdsc", - 0x02 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_video_ahb_clk", - 0x02 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_video_axi_clk", - 0x02 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_video_xo_clk", - 0x02 - } - } - }, - - Package (0x18) - { - "EXIT", - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_video_xo_clk", - One - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_video_axi_clk", - One - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_video_ahb_clk", - One - } - }, - - Package (0x02) - { - "FOOTSWITCH", - Package (0x02) - { - "venus_gdsc", - One - } - }, - - Package (0x02) - { - "FOOTSWITCH", - Package (0x02) - { - "vcodec0_gdsc", - One - } - }, - - Package (0x02) - { - "FOOTSWITCH", - Package (0x02) - { - "vcodec0_gdsc", - 0x03 - } - }, - - Package (0x02) - { - "FOOTSWITCH", - Package (0x02) - { - "vcodec1_gdsc", - One - } - }, - - Package (0x02) - { - "FOOTSWITCH", - Package (0x02) - { - "vcodec1_gdsc", - 0x03 - } - }, - - Package (0x02) - { - "PSTATE_ADJUST", - Package (0x02) - { - Zero, - Zero - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "video_cc_venus_ahb_clk", - One - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "video_cc_venus_ctl_axi_clk", - One - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "video_cc_venus_ctl_core_clk", - One - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "video_cc_vcodec0_axi_clk", - One - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "video_cc_vcodec0_core_clk", - One - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "video_cc_vcodec1_axi_clk", - One - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "video_cc_vcodec1_core_clk", - One - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x03) - { - "video_cc_vcodec0_core_clk", - 0x09, - 0x12 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x03) - { - "video_cc_vcodec1_core_clk", - 0x09, - 0x12 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x03) - { - "video_cc_venus_ctl_core_clk", - 0x09, - 0x12 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x03) - { - "video_cc_vcodec0_core_clk", - 0x09, - 0x14 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x03) - { - "video_cc_vcodec1_core_clk", - 0x09, - 0x14 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x03) - { - "video_cc_venus_ctl_core_clk", - 0x09, - 0x14 - } - }, - - Package (0x01) - { - "PSTATE_RESTORE" - } - } - }, - - Package (0x02) - { - "INIT_FSTATE", - Zero - }, - - Package (0x02) - { - "PRELOAD_FSTATE", - 0x02 - }, - - Package (0x02) - { - "ABANDON_FSTATE", - 0x02 - }, - - Package (0x07) - { - "PSTATE_SET", - Zero, - Package (0x02) - { - "PSTATE", - Zero - }, - - Package (0x11) - { - "PSTATE", - One, - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "video_cc_vcodec1_core_clk", - 0x0A - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "video_cc_vcodec1_axi_clk", - 0x0A - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "video_cc_vcodec0_core_clk", - 0x0A - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "video_cc_vcodec0_axi_clk", - 0x0A - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "video_cc_venus_ctl_core_clk", - 0x0A - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "video_cc_venus_ctl_axi_clk", - 0x0A - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "video_cc_venus_ahb_clk", - 0x0A - } - }, - - Package (0x02) - { - "DELAY", - Package (0x01) - { - One - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "video_cc_venus_ahb_clk", - 0x0B - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "video_cc_venus_ctl_axi_clk", - 0x0B - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "video_cc_venus_ctl_core_clk", - 0x0B - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "video_cc_vcodec0_axi_clk", - 0x0B - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "video_cc_vcodec0_core_clk", - 0x0B - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "video_cc_vcodec1_axi_clk", - 0x0B - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "video_cc_vcodec1_core_clk", - 0x0B - } - } - }, - - Package (0x02) - { - "PRELOAD_PSTATE", - One - }, - - Package (0x02) - { - "PREPARE_PSTATE", - Zero - }, - - Package (0x02) - { - "ABANDON_PSTATE", - One - } - }, - - Package (0x07) - { - "PSTATE_SET", - One, - Package (0x0A) - { - "PSTATE", - Zero, - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_video_xo_clk", - One - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_video_axi_clk", - One - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_video_ahb_clk", - One - } - }, - - Package (0x02) - { - "FOOTSWITCH", - Package (0x02) - { - "venus_gdsc", - One - } - }, - - Package (0x02) - { - "FOOTSWITCH", - Package (0x02) - { - "vcodec0_gdsc", - One - } - }, - - Package (0x02) - { - "FOOTSWITCH", - Package (0x02) - { - "vcodec0_gdsc", - 0x03 - } - }, - - Package (0x02) - { - "FOOTSWITCH", - Package (0x02) - { - "vcodec1_gdsc", - One - } - }, - - Package (0x02) - { - "FOOTSWITCH", - Package (0x02) - { - "vcodec1_gdsc", - 0x03 - } - } - }, - - Package (0x0A) - { - "PSTATE", - One, - Package (0x02) - { - "FOOTSWITCH", - Package (0x02) - { - "vcodec1_gdsc", - 0x04 - } - }, - - Package (0x02) - { - "FOOTSWITCH", - Package (0x02) - { - "vcodec1_gdsc", - 0x02 - } - }, - - Package (0x02) - { - "FOOTSWITCH", - Package (0x02) - { - "vcodec0_gdsc", - 0x04 - } - }, - - Package (0x02) - { - "FOOTSWITCH", - Package (0x02) - { - "vcodec0_gdsc", - 0x02 - } - }, - - Package (0x02) - { - "FOOTSWITCH", - Package (0x02) - { - "venus_gdsc", - 0x02 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_video_ahb_clk", - 0x02 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_video_axi_clk", - 0x02 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_video_xo_clk", - 0x02 - } - } - }, - - Package (0x02) - { - "PRELOAD_PSTATE", - One - }, - - Package (0x02) - { - "PREPARE_PSTATE", - One - }, - - Package (0x02) - { - "ABANDON_PSTATE", - One - } - }, - - Package (0x0C) - { - "PSTATE_SET", - 0x02, - Package (0x06) - { - "PSTATE", - Zero, - Package (0x02) - { - "CLOCK", - Package (0x04) - { - "video_cc_venus_ctl_core_clk", - 0x03, - 0x1FC4EF40, - 0x03 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x04) - { - "video_cc_vcodec0_core_clk", - 0x03, - 0x1FC4EF40, - 0x03 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x04) - { - "video_cc_vcodec1_core_clk", - 0x03, - 0x1FC4EF40, - 0x03 - } - }, - - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_APPSS_PROC", - "ICBID_SLAVE_VENUS_CFG", - 0x11E1A300, - Zero - } - } - }, - - Package (0x06) - { - "PSTATE", - One, - Package (0x02) - { - "CLOCK", - Package (0x04) - { - "video_cc_venus_ctl_core_clk", - 0x03, - 0x1A76E700, - 0x03 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x04) - { - "video_cc_vcodec0_core_clk", - 0x03, - 0x1A76E700, - 0x03 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x04) - { - "video_cc_vcodec1_core_clk", - 0x03, - 0x1A76E700, - 0x03 - } - }, - - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_APPSS_PROC", - "ICBID_SLAVE_VENUS_CFG", - 0x11E1A300, - Zero - } - } - }, - - Package (0x06) - { - "PSTATE", - 0x02, - Package (0x02) - { - "CLOCK", - Package (0x04) - { - "video_cc_venus_ctl_core_clk", - 0x03, - 0x16A65700, - 0x03 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x04) - { - "video_cc_vcodec0_core_clk", - 0x03, - 0x16A65700, - 0x03 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x04) - { - "video_cc_vcodec1_core_clk", - 0x03, - 0x16A65700, - 0x03 - } - }, - - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_APPSS_PROC", - "ICBID_SLAVE_VENUS_CFG", - 0x08F0D180, - Zero - } - } - }, - - Package (0x06) - { - "PSTATE", - 0x03, - Package (0x02) - { - "CLOCK", - Package (0x04) - { - "video_cc_venus_ctl_core_clk", - 0x03, - 0x1312D000, - 0x03 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x04) - { - "video_cc_vcodec0_core_clk", - 0x03, - 0x1312D000, - 0x03 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x04) - { - "video_cc_vcodec1_core_clk", - 0x03, - 0x1312D000, - 0x03 - } - }, - - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_APPSS_PROC", - "ICBID_SLAVE_VENUS_CFG", - 0x08F0D180, - Zero - } - } - }, - - Package (0x06) - { - "PSTATE", - 0x04, - Package (0x02) - { - "CLOCK", - Package (0x04) - { - "video_cc_venus_ctl_core_clk", - 0x03, - 0x0BEBC200, - 0x03 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x04) - { - "video_cc_vcodec0_core_clk", - 0x03, - 0x0BEBC200, - 0x03 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x04) - { - "video_cc_vcodec1_core_clk", - 0x03, - 0x0BEBC200, - 0x03 - } - }, - - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_APPSS_PROC", - "ICBID_SLAVE_VENUS_CFG", - 0x047868C0, - Zero - } - } - }, - - Package (0x06) - { - "PSTATE", - 0x05, - Package (0x02) - { - "CLOCK", - Package (0x04) - { - "video_cc_venus_ctl_core_clk", - 0x03, - 0x05F5E100, - 0x03 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x04) - { - "video_cc_vcodec0_core_clk", - 0x03, - 0x05F5E100, - 0x03 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x04) - { - "video_cc_vcodec1_core_clk", - 0x03, - 0x05F5E100, - 0x03 - } - }, - - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_APPSS_PROC", - "ICBID_SLAVE_VENUS_CFG", - 0x047868C0, - Zero - } - } - }, - - Package (0x06) - { - "PSTATE", - 0x06, - Package (0x02) - { - "CLOCK", - Package (0x04) - { - "video_cc_venus_ctl_core_clk", - 0x03, - Zero, - 0x03 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x04) - { - "video_cc_vcodec0_core_clk", - 0x03, - Zero, - 0x03 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x04) - { - "video_cc_vcodec1_core_clk", - 0x03, - Zero, - 0x03 - } - }, - - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_APPSS_PROC", - "ICBID_SLAVE_VENUS_CFG", - Zero, - Zero - } - } - }, - - Package (0x02) - { - "PRELOAD_PSTATE", - 0x04 - }, - - Package (0x02) - { - "PREPARE_PSTATE", - 0x04 - }, - - Package (0x02) - { - "ABANDON_PSTATE", - 0x04 - } - }, - - Package (0x74) - { - "PSTATE_SET", - 0x03, - Package (0x03) - { - "PSTATE", - Zero, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_VIDEO_P0", - "ICBID_SLAVE_EBI1", - 0x000000051F4D5C00, - 0x000000051F4D5C00 - } - } - }, - - Package (0x03) - { - "PSTATE", - One, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_VIDEO_P0", - "ICBID_SLAVE_EBI1", - 0x0000000513619A00, - 0x0000000513619A00 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x02, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_VIDEO_P0", - "ICBID_SLAVE_EBI1", - 0x000000050775D800, - 0x000000050775D800 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x03, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_VIDEO_P0", - "ICBID_SLAVE_EBI1", - 0x00000004FB8A1600, - 0x00000004FB8A1600 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x04, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_VIDEO_P0", - "ICBID_SLAVE_EBI1", - 0x00000004EF9E5400, - 0x00000004EF9E5400 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x05, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_VIDEO_P0", - "ICBID_SLAVE_EBI1", - 0x00000004E3B29200, - 0x00000004E3B29200 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x06, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_VIDEO_P0", - "ICBID_SLAVE_EBI1", - 0x00000004D7C6D000, - 0x00000004D7C6D000 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x07, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_VIDEO_P0", - "ICBID_SLAVE_EBI1", - 0x00000004CBDB0E00, - 0x00000004CBDB0E00 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x08, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_VIDEO_P0", - "ICBID_SLAVE_EBI1", - 0x00000004BFEF4C00, - 0x00000004BFEF4C00 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x09, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_VIDEO_P0", - "ICBID_SLAVE_EBI1", - 0x00000004B4038A00, - 0x00000004B4038A00 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x0A, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_VIDEO_P0", - "ICBID_SLAVE_EBI1", - 0x00000004A817C800, - 0x00000004A817C800 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x0B, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_VIDEO_P0", - "ICBID_SLAVE_EBI1", - 0x000000049C2C0600, - 0x000000049C2C0600 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x0C, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_VIDEO_P0", - "ICBID_SLAVE_EBI1", - 0x0000000490404400, - 0x0000000490404400 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x0D, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_VIDEO_P0", - "ICBID_SLAVE_EBI1", - 0x0000000484548200, - 0x0000000484548200 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x0E, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_VIDEO_P0", - "ICBID_SLAVE_EBI1", - 0x000000047868C000, - 0x000000047868C000 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x0F, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_VIDEO_P0", - "ICBID_SLAVE_EBI1", - 0x000000046C7CFE00, - 0x000000046C7CFE00 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x10, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_VIDEO_P0", - "ICBID_SLAVE_EBI1", - 0x0000000460913C00, - 0x0000000460913C00 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x11, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_VIDEO_P0", - "ICBID_SLAVE_EBI1", - 0x0000000454A57A00, - 0x0000000454A57A00 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x12, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_VIDEO_P0", - "ICBID_SLAVE_EBI1", - 0x0000000448B9B800, - 0x0000000448B9B800 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x13, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_VIDEO_P0", - "ICBID_SLAVE_EBI1", - 0x000000043CCDF600, - 0x000000043CCDF600 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x14, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_VIDEO_P0", - "ICBID_SLAVE_EBI1", - 0x0000000430E23400, - 0x0000000430E23400 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x15, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_VIDEO_P0", - "ICBID_SLAVE_EBI1", - 0x0000000424F67200, - 0x0000000424F67200 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x16, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_VIDEO_P0", - "ICBID_SLAVE_EBI1", - 0x00000004190AB000, - 0x00000004190AB000 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x17, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_VIDEO_P0", - "ICBID_SLAVE_EBI1", - 0x000000040D1EEE00, - 0x000000040D1EEE00 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x18, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_VIDEO_P0", - "ICBID_SLAVE_EBI1", - 0x0000000401332C00, - 0x0000000401332C00 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x19, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_VIDEO_P0", - "ICBID_SLAVE_EBI1", - 0x00000003F5476A00, - 0x00000003F5476A00 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x1A, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_VIDEO_P0", - "ICBID_SLAVE_EBI1", - 0x00000003E95BA800, - 0x00000003E95BA800 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x1B, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_VIDEO_P0", - "ICBID_SLAVE_EBI1", - 0x00000003DD6FE600, - 0x00000003DD6FE600 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x1C, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_VIDEO_P0", - "ICBID_SLAVE_EBI1", - 0x00000003D1842400, - 0x00000003D1842400 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x1D, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_VIDEO_P0", - "ICBID_SLAVE_EBI1", - 0x00000003C5986200, - 0x00000003C5986200 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x1E, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_VIDEO_P0", - "ICBID_SLAVE_EBI1", - 0x00000003B9ACA000, - 0x00000003B9ACA000 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x1F, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_VIDEO_P0", - "ICBID_SLAVE_EBI1", - 0x00000003ADC0DE00, - 0x00000003ADC0DE00 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x20, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_VIDEO_P0", - "ICBID_SLAVE_EBI1", - 0x00000003A1D51C00, - 0x00000003A1D51C00 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x21, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_VIDEO_P0", - "ICBID_SLAVE_EBI1", - 0x0000000395E95A00, - 0x0000000395E95A00 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x22, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_VIDEO_P0", - "ICBID_SLAVE_EBI1", - 0x0000000389FD9800, - 0x0000000389FD9800 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x23, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_VIDEO_P0", - "ICBID_SLAVE_EBI1", - 0x000000037E11D600, - 0x000000037E11D600 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x24, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_VIDEO_P0", - "ICBID_SLAVE_EBI1", - 0x0000000372261400, - 0x0000000372261400 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x25, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_VIDEO_P0", - "ICBID_SLAVE_EBI1", - 0x00000003663A5200, - 0x00000003663A5200 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x26, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_VIDEO_P0", - "ICBID_SLAVE_EBI1", - 0x000000035A4E9000, - 0x000000035A4E9000 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x27, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_VIDEO_P0", - "ICBID_SLAVE_EBI1", - 0x000000034E62CE00, - 0x000000034E62CE00 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x28, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_VIDEO_P0", - "ICBID_SLAVE_EBI1", - 0x0000000342770C00, - 0x0000000342770C00 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x29, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_VIDEO_P0", - "ICBID_SLAVE_EBI1", - 0x00000003368B4A00, - 0x00000003368B4A00 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x2A, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_VIDEO_P0", - "ICBID_SLAVE_EBI1", - 0x000000032A9F8800, - 0x000000032A9F8800 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x2B, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_VIDEO_P0", - "ICBID_SLAVE_EBI1", - 0x000000031EB3C600, - 0x000000031EB3C600 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x2C, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_VIDEO_P0", - "ICBID_SLAVE_EBI1", - 0x0000000312C80400, - 0x0000000312C80400 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x2D, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_VIDEO_P0", - "ICBID_SLAVE_EBI1", - 0x0000000306DC4200, - 0x0000000306DC4200 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x2E, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_VIDEO_P0", - "ICBID_SLAVE_EBI1", - 0x00000002FAF08000, - 0x00000002FAF08000 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x2F, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_VIDEO_P0", - "ICBID_SLAVE_EBI1", - 0x00000002EF04BE00, - 0x00000002EF04BE00 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x30, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_VIDEO_P0", - "ICBID_SLAVE_EBI1", - 0x00000002E318FC00, - 0x00000002E318FC00 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x31, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_VIDEO_P0", - "ICBID_SLAVE_EBI1", - 0x00000002D72D3A00, - 0x00000002D72D3A00 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x32, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_VIDEO_P0", - "ICBID_SLAVE_EBI1", - 0x00000002CB417800, - 0x00000002CB417800 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x33, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_VIDEO_P0", - "ICBID_SLAVE_EBI1", - 0x00000002BF55B600, - 0x00000002BF55B600 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x34, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_VIDEO_P0", - "ICBID_SLAVE_EBI1", - 0x00000002B369F400, - 0x00000002B369F400 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x35, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_VIDEO_P0", - "ICBID_SLAVE_EBI1", - 0x00000002A77E3200, - 0x00000002A77E3200 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x36, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_VIDEO_P0", - "ICBID_SLAVE_EBI1", - 0x000000029B927000, - 0x000000029B927000 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x37, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_VIDEO_P0", - "ICBID_SLAVE_EBI1", - 0x000000028FA6AE00, - 0x000000028FA6AE00 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x38, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_VIDEO_P0", - "ICBID_SLAVE_EBI1", - 0x0000000283BAEC00, - 0x0000000283BAEC00 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x39, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_VIDEO_P0", - "ICBID_SLAVE_EBI1", - 0x0000000277CF2A00, - 0x0000000277CF2A00 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x3A, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_VIDEO_P0", - "ICBID_SLAVE_EBI1", - 0x000000026BE36800, - 0x000000026BE36800 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x3B, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_VIDEO_P0", - "ICBID_SLAVE_EBI1", - 0x000000025FF7A600, - 0x000000025FF7A600 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x3C, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_VIDEO_P0", - "ICBID_SLAVE_EBI1", - 0x00000002540BE400, - 0x00000002540BE400 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x3D, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_VIDEO_P0", - "ICBID_SLAVE_EBI1", - 0x0000000248202200, - 0x0000000248202200 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x3E, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_VIDEO_P0", - "ICBID_SLAVE_EBI1", - 0x000000023C346000, - 0x000000023C346000 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x3F, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_VIDEO_P0", - "ICBID_SLAVE_EBI1", - 0x0000000230489E00, - 0x0000000230489E00 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x40, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_VIDEO_P0", - "ICBID_SLAVE_EBI1", - 0x00000002245CDC00, - 0x00000002245CDC00 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x41, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_VIDEO_P0", - "ICBID_SLAVE_EBI1", - 0x0000000218711A00, - 0x0000000218711A00 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x42, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_VIDEO_P0", - "ICBID_SLAVE_EBI1", - 0x000000020C855800, - 0x000000020C855800 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x43, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_VIDEO_P0", - "ICBID_SLAVE_EBI1", - 0x0000000200999600, - 0x0000000200999600 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x44, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_VIDEO_P0", - "ICBID_SLAVE_EBI1", - 0x00000001F4ADD400, - 0x00000001F4ADD400 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x45, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_VIDEO_P0", - "ICBID_SLAVE_EBI1", - 0x00000001E8C21200, - 0x00000001E8C21200 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x46, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_VIDEO_P0", - "ICBID_SLAVE_EBI1", - 0x00000001DCD65000, - 0x00000001DCD65000 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x47, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_VIDEO_P0", - "ICBID_SLAVE_EBI1", - 0x00000001D0EA8E00, - 0x00000001D0EA8E00 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x48, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_VIDEO_P0", - "ICBID_SLAVE_EBI1", - 0x00000001C4FECC00, - 0x00000001C4FECC00 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x49, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_VIDEO_P0", - "ICBID_SLAVE_EBI1", - 0x00000001B9130A00, - 0x00000001B9130A00 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x4A, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_VIDEO_P0", - "ICBID_SLAVE_EBI1", - 0x00000001AD274800, - 0x00000001AD274800 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x4B, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_VIDEO_P0", - "ICBID_SLAVE_EBI1", - 0x00000001A13B8600, - 0x00000001A13B8600 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x4C, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_VIDEO_P0", - "ICBID_SLAVE_EBI1", - 0x00000001954FC400, - 0x00000001954FC400 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x4D, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_VIDEO_P0", - "ICBID_SLAVE_EBI1", - 0x0000000189640200, - 0x0000000189640200 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x4E, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_VIDEO_P0", - "ICBID_SLAVE_EBI1", - 0x000000017D784000, - 0x000000017D784000 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x4F, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_VIDEO_P0", - "ICBID_SLAVE_EBI1", - 0x00000001718C7E00, - 0x00000001718C7E00 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x50, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_VIDEO_P0", - "ICBID_SLAVE_EBI1", - 0x0000000165A0BC00, - 0x0000000165A0BC00 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x51, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_VIDEO_P0", - "ICBID_SLAVE_EBI1", - 0x0000000159B4FA00, - 0x0000000159B4FA00 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x52, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_VIDEO_P0", - "ICBID_SLAVE_EBI1", - 0x000000014DC93800, - 0x000000014DC93800 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x53, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_VIDEO_P0", - "ICBID_SLAVE_EBI1", - 0x0000000141DD7600, - 0x0000000141DD7600 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x54, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_VIDEO_P0", - "ICBID_SLAVE_EBI1", - 0x0000000135F1B400, - 0x0000000135F1B400 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x55, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_VIDEO_P0", - "ICBID_SLAVE_EBI1", - 0x000000012A05F200, - 0x000000012A05F200 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x56, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_VIDEO_P0", - "ICBID_SLAVE_EBI1", - 0x000000011E1A3000, - 0x000000011E1A3000 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x57, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_VIDEO_P0", - "ICBID_SLAVE_EBI1", - 0x00000001122E6E00, - 0x00000001122E6E00 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x58, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_VIDEO_P0", - "ICBID_SLAVE_EBI1", - 0x000000010642AC00, - 0x000000010642AC00 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x59, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_VIDEO_P0", - "ICBID_SLAVE_EBI1", - 0xFA56EA00, - 0xFA56EA00 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x5A, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_VIDEO_P0", - "ICBID_SLAVE_EBI1", - 0xEE6B2800, - 0xEE6B2800 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x5B, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_VIDEO_P0", - "ICBID_SLAVE_EBI1", - 0xE27F6600, - 0xE27F6600 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x5C, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_VIDEO_P0", - "ICBID_SLAVE_EBI1", - 0xD693A400, - 0xD693A400 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x5D, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_VIDEO_P0", - "ICBID_SLAVE_EBI1", - 0xCAA7E200, - 0xCAA7E200 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x5E, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_VIDEO_P0", - "ICBID_SLAVE_EBI1", - 0xBEBC2000, - 0xBEBC2000 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x5F, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_VIDEO_P0", - "ICBID_SLAVE_EBI1", - 0xB2D05E00, - 0xB2D05E00 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x60, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_VIDEO_P0", - "ICBID_SLAVE_EBI1", - 0xA6E49C00, - 0xA6E49C00 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x61, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_VIDEO_P0", - "ICBID_SLAVE_EBI1", - 0x9AF8DA00, - 0x9AF8DA00 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x62, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_VIDEO_P0", - "ICBID_SLAVE_EBI1", - 0x8F0D1800, - 0x8F0D1800 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x63, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_VIDEO_P0", - "ICBID_SLAVE_EBI1", - 0x83215600, - 0x83215600 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x64, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_VIDEO_P0", - "ICBID_SLAVE_EBI1", - 0x77359400, - 0x77359400 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x65, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_VIDEO_P0", - "ICBID_SLAVE_EBI1", - 0x6B49D200, - 0x6B49D200 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x66, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_VIDEO_P0", - "ICBID_SLAVE_EBI1", - 0x5F5E1000, - 0x5F5E1000 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x67, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_VIDEO_P0", - "ICBID_SLAVE_EBI1", - 0x53724E00, - 0x53724E00 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x68, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_VIDEO_P0", - "ICBID_SLAVE_EBI1", - 0x47868C00, - 0x47868C00 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x69, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_VIDEO_P0", - "ICBID_SLAVE_EBI1", - 0x3B9ACA00, - 0x3B9ACA00 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x6A, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_VIDEO_P0", - "ICBID_SLAVE_EBI1", - 0x2FAF0800, - 0x2FAF0800 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x6B, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_VIDEO_P0", - "ICBID_SLAVE_EBI1", - 0x23C34600, - 0x23C34600 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x6C, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_VIDEO_P0", - "ICBID_SLAVE_EBI1", - 0x17D78400, - 0x17D78400 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x6D, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_VIDEO_P0", - "ICBID_SLAVE_EBI1", - 0x0BEBC200, - 0x0BEBC200 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x6E, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_VIDEO_P0", - "ICBID_SLAVE_EBI1", - Zero, - Zero - } - } - }, - - Package (0x02) - { - "PRELOAD_PSTATE", - 0x6C - }, - - Package (0x02) - { - "PREPARE_PSTATE", - 0x6C - }, - - Package (0x02) - { - "ABANDON_PSTATE", - 0x6C - } - } - } - } - }) - Return (GPCC) /* \_SB_.PEP0.G4MD.GPCC */ - } - - Method (G5MD, 0, NotSerialized) - { - Name (GPCC, Package (0x01) - { - Package (0x03) - { - "DEVICE", - "\\_SB.GPU0", - Package (0x0A) - { - "COMPONENT", - 0x05, - Package (0x02) - { - "FSTATE", - Zero - }, - - Package (0x02) - { - "FSTATE", - One - }, - - Package (0x02) - { - "INIT_FSTATE", - Zero - }, - - Package (0x02) - { - "PRELOAD_FSTATE", - Zero - }, - - Package (0x02) - { - "ABANDON_FSTATE", - Zero - }, - - Package (0x07) - { - "PSTATE_SET", - Zero, - Package (0x02) - { - "PSTATE", - Zero - }, - - Package (0x02) - { - "PSTATE", - One - }, - - Package (0x02) - { - "PRELOAD_PSTATE", - Zero - }, - - Package (0x02) - { - "PREPARE_PSTATE", - Zero - }, - - Package (0x02) - { - "ABANDON_PSTATE", - Zero - } - }, - - Package (0x07) - { - "PSTATE_SET", - One, - Package (0x02) - { - "PSTATE", - Zero - }, - - Package (0x02) - { - "PSTATE", - One - }, - - Package (0x02) - { - "PRELOAD_PSTATE", - Zero - }, - - Package (0x02) - { - "PREPARE_PSTATE", - One - }, - - Package (0x02) - { - "ABANDON_PSTATE", - Zero - } - }, - - Package (0x06) - { - "PSTATE_SET", - 0x02, - Package (0x02) - { - "PSTATE", - Zero - }, - - Package (0x02) - { - "PRELOAD_PSTATE", - Zero - }, - - Package (0x02) - { - "PREPARE_PSTATE", - Zero - }, - - Package (0x02) - { - "ABANDON_PSTATE", - Zero - } - } - } - } - }) - Return (GPCC) /* \_SB_.PEP0.G5MD.GPCC */ - } - - Method (G6MD, 0, NotSerialized) - { - Name (GPCC, Package (0x01) - { - Package (0x03) - { - "DEVICE", - "\\_SB.GPU0", - Package (0x0C) - { - "COMPONENT", - 0x06, - Package (0x02) - { - "FSTATE", - Zero - }, - - Package (0x04) - { - "FSTATE", - One, - Package (0x09) - { - "ENTER", - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "video_cc_vcodec1_core_clk", - 0x02 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "video_cc_vcodec1_axi_clk", - 0x02 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "video_cc_vcodec0_core_clk", - 0x02 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "video_cc_vcodec0_axi_clk", - 0x02 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "video_cc_venus_ctl_core_clk", - 0x02 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "video_cc_venus_ctl_axi_clk", - 0x02 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "video_cc_venus_ahb_clk", - 0x02 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_video_ahb_clk", - 0x02 - } - } - }, - - Package (0x09) - { - "EXIT", - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_video_ahb_clk", - One - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "video_cc_venus_ahb_clk", - One - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "video_cc_venus_ctl_axi_clk", - One - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "video_cc_venus_ctl_core_clk", - One - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "video_cc_vcodec0_axi_clk", - One - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "video_cc_vcodec0_core_clk", - One - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "video_cc_vcodec1_axi_clk", - One - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "video_cc_vcodec1_core_clk", - One - } - } - } - }, - - Package (0x04) - { - "FSTATE", - 0x02, - Package (0x13) - { - "ENTER", - Package (0x01) - { - "PSTATE_SAVE" - }, - - Package (0x02) - { - "PSTATE_ADJUST", - Package (0x02) - { - 0x02, - 0x06 - } - }, - - Package (0x02) - { - "PSTATE_ADJUST", - Package (0x02) - { - 0x03, - 0x6E - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "video_cc_vcodec1_core_clk", - 0x02 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "video_cc_vcodec1_axi_clk", - 0x02 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "video_cc_vcodec0_core_clk", - 0x02 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "video_cc_vcodec0_axi_clk", - 0x02 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "video_cc_venus_ctl_core_clk", - 0x02 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "video_cc_venus_ctl_axi_clk", - 0x02 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "video_cc_venus_ahb_clk", - 0x02 - } - }, - - Package (0x02) - { - "FOOTSWITCH", - Package (0x02) - { - "vcodec1_gdsc", - 0x04 - } - }, - - Package (0x02) - { - "FOOTSWITCH", - Package (0x02) - { - "vcodec1_gdsc", - 0x02 - } - }, - - Package (0x02) - { - "FOOTSWITCH", - Package (0x02) - { - "vcodec0_gdsc", - 0x04 - } - }, - - Package (0x02) - { - "FOOTSWITCH", - Package (0x02) - { - "vcodec0_gdsc", - 0x02 - } - }, - - Package (0x02) - { - "FOOTSWITCH", - Package (0x02) - { - "venus_gdsc", - 0x02 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_video_ahb_clk", - 0x02 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_video_axi_clk", - 0x02 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_video_xo_clk", - 0x02 - } - } - }, - - Package (0x18) - { - "EXIT", - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_video_xo_clk", - One - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_video_axi_clk", - One - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_video_ahb_clk", - One - } - }, - - Package (0x02) - { - "FOOTSWITCH", - Package (0x02) - { - "venus_gdsc", - One - } - }, - - Package (0x02) - { - "FOOTSWITCH", - Package (0x02) - { - "vcodec0_gdsc", - One - } - }, - - Package (0x02) - { - "FOOTSWITCH", - Package (0x02) - { - "vcodec0_gdsc", - 0x03 - } - }, - - Package (0x02) - { - "FOOTSWITCH", - Package (0x02) - { - "vcodec1_gdsc", - One - } - }, - - Package (0x02) - { - "FOOTSWITCH", - Package (0x02) - { - "vcodec1_gdsc", - 0x03 - } - }, - - Package (0x02) - { - "PSTATE_ADJUST", - Package (0x02) - { - Zero, - Zero - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "video_cc_venus_ahb_clk", - One - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "video_cc_venus_ctl_axi_clk", - One - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "video_cc_venus_ctl_core_clk", - One - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "video_cc_vcodec0_axi_clk", - One - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "video_cc_vcodec0_core_clk", - One - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "video_cc_vcodec1_axi_clk", - One - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "video_cc_vcodec1_core_clk", - One - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x03) - { - "video_cc_vcodec0_core_clk", - 0x09, - 0x12 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x03) - { - "video_cc_vcodec1_core_clk", - 0x09, - 0x12 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x03) - { - "video_cc_venus_ctl_core_clk", - 0x09, - 0x12 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x03) - { - "video_cc_vcodec0_core_clk", - 0x09, - 0x14 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x03) - { - "video_cc_vcodec1_core_clk", - 0x09, - 0x14 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x03) - { - "video_cc_venus_ctl_core_clk", - 0x09, - 0x14 - } - }, - - Package (0x01) - { - "PSTATE_RESTORE" - } - } - }, - - Package (0x02) - { - "INIT_FSTATE", - Zero - }, - - Package (0x02) - { - "PRELOAD_FSTATE", - 0x02 - }, - - Package (0x02) - { - "ABANDON_FSTATE", - 0x02 - }, - - Package (0x07) - { - "PSTATE_SET", - Zero, - Package (0x02) - { - "PSTATE", - Zero - }, - - Package (0x11) - { - "PSTATE", - One, - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "video_cc_vcodec1_core_clk", - 0x0A - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "video_cc_vcodec1_axi_clk", - 0x0A - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "video_cc_vcodec0_core_clk", - 0x0A - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "video_cc_vcodec0_axi_clk", - 0x0A - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "video_cc_venus_ctl_core_clk", - 0x0A - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "video_cc_venus_ctl_axi_clk", - 0x0A - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "video_cc_venus_ahb_clk", - 0x0A - } - }, - - Package (0x02) - { - "DELAY", - Package (0x01) - { - One - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "video_cc_venus_ahb_clk", - 0x0B - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "video_cc_venus_ctl_axi_clk", - 0x0B - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "video_cc_venus_ctl_core_clk", - 0x0B - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "video_cc_vcodec0_axi_clk", - 0x0B - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "video_cc_vcodec0_core_clk", - 0x0B - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "video_cc_vcodec1_axi_clk", - 0x0B - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "video_cc_vcodec1_core_clk", - 0x0B - } - } - }, - - Package (0x02) - { - "PRELOAD_PSTATE", - One - }, - - Package (0x02) - { - "PREPARE_PSTATE", - Zero - }, - - Package (0x02) - { - "ABANDON_PSTATE", - One - } - }, - - Package (0x07) - { - "PSTATE_SET", - One, - Package (0x0A) - { - "PSTATE", - Zero, - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_video_xo_clk", - One - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_video_axi_clk", - One - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_video_ahb_clk", - One - } - }, - - Package (0x02) - { - "FOOTSWITCH", - Package (0x02) - { - "venus_gdsc", - One - } - }, - - Package (0x02) - { - "FOOTSWITCH", - Package (0x02) - { - "vcodec0_gdsc", - One - } - }, - - Package (0x02) - { - "FOOTSWITCH", - Package (0x02) - { - "vcodec0_gdsc", - 0x03 - } - }, - - Package (0x02) - { - "FOOTSWITCH", - Package (0x02) - { - "vcodec1_gdsc", - One - } - }, - - Package (0x02) - { - "FOOTSWITCH", - Package (0x02) - { - "vcodec1_gdsc", - 0x03 - } - } - }, - - Package (0x0A) - { - "PSTATE", - One, - Package (0x02) - { - "FOOTSWITCH", - Package (0x02) - { - "vcodec1_gdsc", - 0x04 - } - }, - - Package (0x02) - { - "FOOTSWITCH", - Package (0x02) - { - "vcodec1_gdsc", - 0x02 - } - }, - - Package (0x02) - { - "FOOTSWITCH", - Package (0x02) - { - "vcodec0_gdsc", - 0x04 - } - }, - - Package (0x02) - { - "FOOTSWITCH", - Package (0x02) - { - "vcodec0_gdsc", - 0x02 - } - }, - - Package (0x02) - { - "FOOTSWITCH", - Package (0x02) - { - "venus_gdsc", - 0x02 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_video_ahb_clk", - 0x02 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_video_axi_clk", - 0x02 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_video_xo_clk", - 0x02 - } - } - }, - - Package (0x02) - { - "PRELOAD_PSTATE", - One - }, - - Package (0x02) - { - "PREPARE_PSTATE", - One - }, - - Package (0x02) - { - "ABANDON_PSTATE", - One - } - }, - - Package (0x0C) - { - "PSTATE_SET", - 0x02, - Package (0x06) - { - "PSTATE", - Zero, - Package (0x02) - { - "CLOCK", - Package (0x04) - { - "video_cc_venus_ctl_core_clk", - 0x03, - 0x1FC4EF40, - 0x03 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x04) - { - "video_cc_vcodec0_core_clk", - 0x03, - 0x1FC4EF40, - 0x03 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x04) - { - "video_cc_vcodec1_core_clk", - 0x03, - 0x1FC4EF40, - 0x03 - } - }, - - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_APPSS_PROC", - "ICBID_SLAVE_VENUS_CFG", - 0x11E1A300, - Zero - } - } - }, - - Package (0x06) - { - "PSTATE", - One, - Package (0x02) - { - "CLOCK", - Package (0x04) - { - "video_cc_venus_ctl_core_clk", - 0x03, - 0x1A76E700, - 0x03 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x04) - { - "video_cc_vcodec0_core_clk", - 0x03, - 0x1A76E700, - 0x03 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x04) - { - "video_cc_vcodec1_core_clk", - 0x03, - 0x1A76E700, - 0x03 - } - }, - - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_APPSS_PROC", - "ICBID_SLAVE_VENUS_CFG", - 0x11E1A300, - Zero - } - } - }, - - Package (0x06) - { - "PSTATE", - 0x02, - Package (0x02) - { - "CLOCK", - Package (0x04) - { - "video_cc_venus_ctl_core_clk", - 0x03, - 0x18148D00, - 0x03 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x04) - { - "video_cc_vcodec0_core_clk", - 0x03, - 0x18148D00, - 0x03 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x04) - { - "video_cc_vcodec1_core_clk", - 0x03, - 0x18148D00, - 0x03 - } - }, - - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_APPSS_PROC", - "ICBID_SLAVE_VENUS_CFG", - 0x08F0D180, - Zero - } - } - }, - - Package (0x06) - { - "PSTATE", - 0x03, - Package (0x02) - { - "CLOCK", - Package (0x04) - { - "video_cc_venus_ctl_core_clk", - 0x03, - 0x13AB6680, - 0x03 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x04) - { - "video_cc_vcodec0_core_clk", - 0x03, - 0x13AB6680, - 0x03 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x04) - { - "video_cc_vcodec1_core_clk", - 0x03, - 0x13AB6680, - 0x03 - } - }, - - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_APPSS_PROC", - "ICBID_SLAVE_VENUS_CFG", - 0x08F0D180, - Zero - } - } - }, - - Package (0x06) - { - "PSTATE", - 0x04, - Package (0x02) - { - "CLOCK", - Package (0x04) - { - "video_cc_venus_ctl_core_clk", - 0x03, - 0x0BEBC200, - 0x03 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x04) - { - "video_cc_vcodec0_core_clk", - 0x03, - 0x0BEBC200, - 0x03 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x04) - { - "video_cc_vcodec1_core_clk", - 0x03, - 0x0BEBC200, - 0x03 - } - }, - - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_APPSS_PROC", - "ICBID_SLAVE_VENUS_CFG", - 0x047868C0, - Zero - } - } - }, - - Package (0x06) - { - "PSTATE", - 0x05, - Package (0x02) - { - "CLOCK", - Package (0x04) - { - "video_cc_venus_ctl_core_clk", - 0x03, - 0x05F5E100, - 0x03 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x04) - { - "video_cc_vcodec0_core_clk", - 0x03, - 0x05F5E100, - 0x03 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x04) - { - "video_cc_vcodec1_core_clk", - 0x03, - 0x05F5E100, - 0x03 - } - }, - - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_APPSS_PROC", - "ICBID_SLAVE_VENUS_CFG", - 0x047868C0, - Zero - } - } - }, - - Package (0x06) - { - "PSTATE", - 0x06, - Package (0x02) - { - "CLOCK", - Package (0x04) - { - "video_cc_venus_ctl_core_clk", - 0x03, - Zero, - 0x03 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x04) - { - "video_cc_vcodec0_core_clk", - 0x03, - Zero, - 0x03 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x04) - { - "video_cc_vcodec1_core_clk", - 0x03, - Zero, - 0x03 - } - }, - - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_APPSS_PROC", - "ICBID_SLAVE_VENUS_CFG", - Zero, - Zero - } - } - }, - - Package (0x02) - { - "PRELOAD_PSTATE", - 0x04 - }, - - Package (0x02) - { - "PREPARE_PSTATE", - 0x04 - }, - - Package (0x02) - { - "ABANDON_PSTATE", - 0x04 - } - }, - - Package (0x74) - { - "PSTATE_SET", - 0x03, - Package (0x03) - { - "PSTATE", - Zero, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_VIDEO_P1", - "ICBID_SLAVE_EBI1", - 0x000000051F4D5C00, - Zero - } - } - }, - - Package (0x03) - { - "PSTATE", - One, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_VIDEO_P1", - "ICBID_SLAVE_EBI1", - 0x0000000513619A00, - Zero - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x02, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_VIDEO_P1", - "ICBID_SLAVE_EBI1", - 0x000000050775D800, - Zero - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x03, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_VIDEO_P1", - "ICBID_SLAVE_EBI1", - 0x00000004FB8A1600, - Zero - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x04, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_VIDEO_P1", - "ICBID_SLAVE_EBI1", - 0x00000004EF9E5400, - Zero - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x05, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_VIDEO_P1", - "ICBID_SLAVE_EBI1", - 0x00000004E3B29200, - Zero - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x06, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_VIDEO_P1", - "ICBID_SLAVE_EBI1", - 0x00000004D7C6D000, - Zero - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x07, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_VIDEO_P1", - "ICBID_SLAVE_EBI1", - 0x00000004CBDB0E00, - Zero - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x08, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_VIDEO_P1", - "ICBID_SLAVE_EBI1", - 0x00000004BFEF4C00, - Zero - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x09, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_VIDEO_P1", - "ICBID_SLAVE_EBI1", - 0x00000004B4038A00, - Zero - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x0A, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_VIDEO_P1", - "ICBID_SLAVE_EBI1", - 0x00000004A817C800, - Zero - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x0B, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_VIDEO_P1", - "ICBID_SLAVE_EBI1", - 0x000000049C2C0600, - Zero - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x0C, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_VIDEO_P1", - "ICBID_SLAVE_EBI1", - 0x0000000490404400, - Zero - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x0D, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_VIDEO_P1", - "ICBID_SLAVE_EBI1", - 0x0000000484548200, - Zero - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x0E, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_VIDEO_P1", - "ICBID_SLAVE_EBI1", - 0x000000047868C000, - Zero - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x0F, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_VIDEO_P1", - "ICBID_SLAVE_EBI1", - 0x000000046C7CFE00, - Zero - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x10, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_VIDEO_P1", - "ICBID_SLAVE_EBI1", - 0x0000000460913C00, - Zero - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x11, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_VIDEO_P1", - "ICBID_SLAVE_EBI1", - 0x0000000454A57A00, - Zero - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x12, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_VIDEO_P1", - "ICBID_SLAVE_EBI1", - 0x0000000448B9B800, - Zero - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x13, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_VIDEO_P1", - "ICBID_SLAVE_EBI1", - 0x000000043CCDF600, - Zero - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x14, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_VIDEO_P1", - "ICBID_SLAVE_EBI1", - 0x0000000430E23400, - Zero - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x15, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_VIDEO_P1", - "ICBID_SLAVE_EBI1", - 0x0000000424F67200, - Zero - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x16, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_VIDEO_P1", - "ICBID_SLAVE_EBI1", - 0x00000004190AB000, - Zero - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x17, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_VIDEO_P1", - "ICBID_SLAVE_EBI1", - 0x000000040D1EEE00, - Zero - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x18, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_VIDEO_P1", - "ICBID_SLAVE_EBI1", - 0x0000000401332C00, - Zero - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x19, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_VIDEO_P1", - "ICBID_SLAVE_EBI1", - 0x00000003F5476A00, - Zero - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x1A, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_VIDEO_P1", - "ICBID_SLAVE_EBI1", - 0x00000003E95BA800, - Zero - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x1B, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_VIDEO_P1", - "ICBID_SLAVE_EBI1", - 0x00000003DD6FE600, - Zero - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x1C, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_VIDEO_P1", - "ICBID_SLAVE_EBI1", - 0x00000003D1842400, - Zero - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x1D, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_VIDEO_P1", - "ICBID_SLAVE_EBI1", - 0x00000003C5986200, - Zero - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x1E, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_VIDEO_P1", - "ICBID_SLAVE_EBI1", - 0x00000003B9ACA000, - Zero - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x1F, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_VIDEO_P1", - "ICBID_SLAVE_EBI1", - 0x00000003ADC0DE00, - Zero - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x20, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_VIDEO_P1", - "ICBID_SLAVE_EBI1", - 0x00000003A1D51C00, - Zero - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x21, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_VIDEO_P1", - "ICBID_SLAVE_EBI1", - 0x0000000395E95A00, - Zero - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x22, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_VIDEO_P1", - "ICBID_SLAVE_EBI1", - 0x0000000389FD9800, - Zero - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x23, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_VIDEO_P1", - "ICBID_SLAVE_EBI1", - 0x000000037E11D600, - Zero - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x24, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_VIDEO_P1", - "ICBID_SLAVE_EBI1", - 0x0000000372261400, - Zero - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x25, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_VIDEO_P1", - "ICBID_SLAVE_EBI1", - 0x00000003663A5200, - Zero - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x26, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_VIDEO_P1", - "ICBID_SLAVE_EBI1", - 0x000000035A4E9000, - Zero - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x27, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_VIDEO_P1", - "ICBID_SLAVE_EBI1", - 0x000000034E62CE00, - Zero - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x28, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_VIDEO_P1", - "ICBID_SLAVE_EBI1", - 0x0000000342770C00, - Zero - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x29, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_VIDEO_P1", - "ICBID_SLAVE_EBI1", - 0x00000003368B4A00, - Zero - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x2A, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_VIDEO_P1", - "ICBID_SLAVE_EBI1", - 0x000000032A9F8800, - Zero - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x2B, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_VIDEO_P1", - "ICBID_SLAVE_EBI1", - 0x000000031EB3C600, - Zero - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x2C, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_VIDEO_P1", - "ICBID_SLAVE_EBI1", - 0x0000000312C80400, - Zero - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x2D, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_VIDEO_P1", - "ICBID_SLAVE_EBI1", - 0x0000000306DC4200, - Zero - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x2E, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_VIDEO_P1", - "ICBID_SLAVE_EBI1", - 0x00000002FAF08000, - Zero - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x2F, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_VIDEO_P1", - "ICBID_SLAVE_EBI1", - 0x00000002EF04BE00, - Zero - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x30, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_VIDEO_P1", - "ICBID_SLAVE_EBI1", - 0x00000002E318FC00, - Zero - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x31, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_VIDEO_P1", - "ICBID_SLAVE_EBI1", - 0x00000002D72D3A00, - Zero - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x32, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_VIDEO_P1", - "ICBID_SLAVE_EBI1", - 0x00000002CB417800, - Zero - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x33, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_VIDEO_P1", - "ICBID_SLAVE_EBI1", - 0x00000002BF55B600, - Zero - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x34, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_VIDEO_P1", - "ICBID_SLAVE_EBI1", - 0x00000002B369F400, - Zero - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x35, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_VIDEO_P1", - "ICBID_SLAVE_EBI1", - 0x00000002A77E3200, - Zero - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x36, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_VIDEO_P1", - "ICBID_SLAVE_EBI1", - 0x000000029B927000, - Zero - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x37, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_VIDEO_P1", - "ICBID_SLAVE_EBI1", - 0x000000028FA6AE00, - Zero - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x38, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_VIDEO_P1", - "ICBID_SLAVE_EBI1", - 0x0000000283BAEC00, - Zero - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x39, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_VIDEO_P1", - "ICBID_SLAVE_EBI1", - 0x0000000277CF2A00, - Zero - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x3A, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_VIDEO_P1", - "ICBID_SLAVE_EBI1", - 0x000000026BE36800, - Zero - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x3B, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_VIDEO_P1", - "ICBID_SLAVE_EBI1", - 0x000000025FF7A600, - Zero - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x3C, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_VIDEO_P1", - "ICBID_SLAVE_EBI1", - 0x00000002540BE400, - Zero - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x3D, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_VIDEO_P1", - "ICBID_SLAVE_EBI1", - 0x0000000248202200, - Zero - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x3E, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_VIDEO_P1", - "ICBID_SLAVE_EBI1", - 0x000000023C346000, - Zero - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x3F, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_VIDEO_P1", - "ICBID_SLAVE_EBI1", - 0x0000000230489E00, - Zero - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x40, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_VIDEO_P1", - "ICBID_SLAVE_EBI1", - 0x00000002245CDC00, - Zero - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x41, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_VIDEO_P1", - "ICBID_SLAVE_EBI1", - 0x0000000218711A00, - Zero - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x42, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_VIDEO_P1", - "ICBID_SLAVE_EBI1", - 0x000000020C855800, - Zero - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x43, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_VIDEO_P1", - "ICBID_SLAVE_EBI1", - 0x0000000200999600, - Zero - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x44, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_VIDEO_P1", - "ICBID_SLAVE_EBI1", - 0x00000001F4ADD400, - Zero - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x45, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_VIDEO_P1", - "ICBID_SLAVE_EBI1", - 0x00000001E8C21200, - Zero - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x46, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_VIDEO_P1", - "ICBID_SLAVE_EBI1", - 0x00000001DCD65000, - Zero - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x47, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_VIDEO_P1", - "ICBID_SLAVE_EBI1", - 0x00000001D0EA8E00, - Zero - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x48, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_VIDEO_P1", - "ICBID_SLAVE_EBI1", - 0x00000001C4FECC00, - Zero - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x49, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_VIDEO_P1", - "ICBID_SLAVE_EBI1", - 0x00000001B9130A00, - Zero - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x4A, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_VIDEO_P1", - "ICBID_SLAVE_EBI1", - 0x00000001AD274800, - Zero - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x4B, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_VIDEO_P1", - "ICBID_SLAVE_EBI1", - 0x00000001A13B8600, - Zero - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x4C, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_VIDEO_P1", - "ICBID_SLAVE_EBI1", - 0x00000001954FC400, - Zero - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x4D, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_VIDEO_P1", - "ICBID_SLAVE_EBI1", - 0x0000000189640200, - Zero - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x4E, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_VIDEO_P1", - "ICBID_SLAVE_EBI1", - 0x000000017D784000, - Zero - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x4F, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_VIDEO_P1", - "ICBID_SLAVE_EBI1", - 0x00000001718C7E00, - Zero - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x50, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_VIDEO_P1", - "ICBID_SLAVE_EBI1", - 0x0000000165A0BC00, - Zero - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x51, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_VIDEO_P1", - "ICBID_SLAVE_EBI1", - 0x0000000159B4FA00, - Zero - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x52, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_VIDEO_P1", - "ICBID_SLAVE_EBI1", - 0x000000014DC93800, - Zero - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x53, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_VIDEO_P1", - "ICBID_SLAVE_EBI1", - 0x0000000141DD7600, - Zero - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x54, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_VIDEO_P1", - "ICBID_SLAVE_EBI1", - 0x0000000135F1B400, - Zero - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x55, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_VIDEO_P1", - "ICBID_SLAVE_EBI1", - 0x000000012A05F200, - Zero - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x56, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_VIDEO_P1", - "ICBID_SLAVE_EBI1", - 0x000000011E1A3000, - Zero - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x57, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_VIDEO_P1", - "ICBID_SLAVE_EBI1", - 0x00000001122E6E00, - Zero - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x58, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_VIDEO_P1", - "ICBID_SLAVE_EBI1", - 0x000000010642AC00, - Zero - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x59, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_VIDEO_P1", - "ICBID_SLAVE_EBI1", - 0xFA56EA00, - Zero - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x5A, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_VIDEO_P1", - "ICBID_SLAVE_EBI1", - 0xEE6B2800, - Zero - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x5B, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_VIDEO_P1", - "ICBID_SLAVE_EBI1", - 0xE27F6600, - Zero - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x5C, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_VIDEO_P1", - "ICBID_SLAVE_EBI1", - 0xD693A400, - Zero - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x5D, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_VIDEO_P1", - "ICBID_SLAVE_EBI1", - 0xCAA7E200, - Zero - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x5E, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_VIDEO_P1", - "ICBID_SLAVE_EBI1", - 0xBEBC2000, - Zero - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x5F, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_VIDEO_P1", - "ICBID_SLAVE_EBI1", - 0xB2D05E00, - Zero - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x60, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_VIDEO_P1", - "ICBID_SLAVE_EBI1", - 0xA6E49C00, - Zero - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x61, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_VIDEO_P1", - "ICBID_SLAVE_EBI1", - 0x9AF8DA00, - Zero - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x62, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_VIDEO_P1", - "ICBID_SLAVE_EBI1", - 0x8F0D1800, - Zero - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x63, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_VIDEO_P1", - "ICBID_SLAVE_EBI1", - 0x83215600, - Zero - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x64, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_VIDEO_P1", - "ICBID_SLAVE_EBI1", - 0x77359400, - Zero - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x65, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_VIDEO_P1", - "ICBID_SLAVE_EBI1", - 0x6B49D200, - Zero - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x66, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_VIDEO_P1", - "ICBID_SLAVE_EBI1", - 0x5F5E1000, - Zero - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x67, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_VIDEO_P1", - "ICBID_SLAVE_EBI1", - 0x53724E00, - Zero - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x68, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_VIDEO_P1", - "ICBID_SLAVE_EBI1", - 0x47868C00, - Zero - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x69, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_VIDEO_P1", - "ICBID_SLAVE_EBI1", - 0x3B9ACA00, - Zero - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x6A, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_VIDEO_P1", - "ICBID_SLAVE_EBI1", - 0x2FAF0800, - Zero - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x6B, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_VIDEO_P1", - "ICBID_SLAVE_EBI1", - 0x23C34600, - Zero - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x6C, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_VIDEO_P1", - "ICBID_SLAVE_EBI1", - 0x17D78400, - Zero - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x6D, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_VIDEO_P1", - "ICBID_SLAVE_EBI1", - 0x0BEBC200, - Zero - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x6E, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_VIDEO_P1", - "ICBID_SLAVE_EBI1", - Zero, - Zero - } - } - }, - - Package (0x02) - { - "PRELOAD_PSTATE", - 0x6C - }, - - Package (0x02) - { - "PREPARE_PSTATE", - 0x6C - }, - - Package (0x02) - { - "ABANDON_PSTATE", - 0x6C - } - } - } - } - }) - Return (GPCC) /* \_SB_.PEP0.G6MD.GPCC */ - } - - Method (G7MD, 0, NotSerialized) - { - Name (GPCC, Package (0x01) - { - Package (0x03) - { - "DEVICE", - "\\_SB.GPU0", - Package (0x0C) - { - "COMPONENT", - 0x07, - Package (0x02) - { - "FSTATE", - Zero - }, - - Package (0x04) - { - "FSTATE", - One, - Package (0x09) - { - "ENTER", - Package (0x01) - { - "PSTATE_SAVE" - }, - - Package (0x02) - { - "PSTATE_ADJUST", - Package (0x02) - { - 0x03, - 0x0C - } - }, - - Package (0x02) - { - "PSTATE_ADJUST", - Package (0x02) - { - 0x04, - 0x2A - } - }, - - Package (0x02) - { - "PSTATE_ADJUST", - Package (0x02) - { - 0x02, - 0x04 - } - }, - - Package (0x02) - { - "FOOTSWITCH", - Package (0x02) - { - "mdss_core_gdsc", - 0x02 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_disp_ahb_clk", - 0x02 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_disp_xo_clk", - 0x02 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "phy_refgen_south", - 0x02 - } - } - }, - - Package (0x06) - { - "EXIT", - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_disp_xo_clk", - One - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_disp_ahb_clk", - One - } - }, - - Package (0x02) - { - "FOOTSWITCH", - Package (0x02) - { - "mdss_core_gdsc", - One - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "phy_refgen_south", - One - } - }, - - Package (0x01) - { - "PSTATE_RESTORE" - } - } - }, - - Package (0x02) - { - "INIT_FSTATE", - One - }, - - Package (0x02) - { - "PRELOAD_FSTATE", - Zero - }, - - Package (0x02) - { - "ABANDON_FSTATE", - Zero - }, - - Package (0x07) - { - "PSTATE_SET", - Zero, - Package (0x02) - { - "PSTATE", - Zero - }, - - Package (0x02) - { - "PSTATE", - One - }, - - Package (0x02) - { - "PRELOAD_PSTATE", - Zero - }, - - Package (0x02) - { - "PREPARE_PSTATE", - Zero - }, - - Package (0x02) - { - "ABANDON_PSTATE", - Zero - } - }, - - Package (0x04) - { - "PSTATE_SET", - One, - Package (0x05) - { - "PSTATE", - Zero, - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_disp_xo_clk", - One - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_disp_ahb_clk", - One - } - }, - - Package (0x02) - { - "FOOTSWITCH", - Package (0x02) - { - "mdss_core_gdsc", - One - } - } - }, - - Package (0x05) - { - "PSTATE", - One, - Package (0x02) - { - "FOOTSWITCH", - Package (0x02) - { - "mdss_core_gdsc", - 0x02 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_disp_ahb_clk", - 0x02 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_disp_xo_clk", - 0x02 - } - } - } - }, - - Package (0x0A) - { - "PSTATE_SET", - 0x02, - Package (0x12) - { - "PSTATE", - Zero, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_APPSS_PROC", - "ICBID_SLAVE_DISPLAY_CFG", - 0x047868C0, - Zero - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "disp_cc_mdss_ahb_clk", - One - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_disp_axi_clk", - One - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "disp_cc_mdss_axi_clk", - One - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "disp_cc_mdss_mdp_clk", - One - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "disp_cc_mdss_vsync_clk", - One - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "disp_cc_mdss_rscc_ahb_clk", - One - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "disp_cc_mdss_rscc_vsync_clk", - One - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "disp_cc_mdss_pclk0_clk", - One - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "disp_cc_mdss_esc0_clk", - One - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "disp_cc_mdss_byte0_clk", - One - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "disp_cc_mdss_byte0_intf_clk", - One - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "disp_cc_mdss_pclk1_clk", - One - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "disp_cc_mdss_esc1_clk", - One - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "disp_cc_mdss_byte1_clk", - One - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "disp_cc_mdss_byte1_intf_clk", - One - } - } - }, - - Package (0x12) - { - "PSTATE", - One, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_APPSS_PROC", - "ICBID_SLAVE_DISPLAY_CFG", - 0x047868C0, - Zero - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "disp_cc_mdss_ahb_clk", - One - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_disp_axi_clk", - One - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "disp_cc_mdss_axi_clk", - One - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "disp_cc_mdss_mdp_clk", - One - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "disp_cc_mdss_vsync_clk", - One - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "disp_cc_mdss_rscc_ahb_clk", - One - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "disp_cc_mdss_rscc_vsync_clk", - One - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "disp_cc_mdss_pclk0_clk", - One - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "disp_cc_mdss_esc0_clk", - One - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "disp_cc_mdss_byte0_clk", - One - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "disp_cc_mdss_byte0_intf_clk", - One - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "disp_cc_mdss_pclk1_clk", - One - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "disp_cc_mdss_esc1_clk", - One - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "disp_cc_mdss_byte1_clk", - One - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "disp_cc_mdss_byte1_intf_clk", - One - } - } - }, - - Package (0x12) - { - "PSTATE", - 0x02, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_APPSS_PROC", - "ICBID_SLAVE_DISPLAY_CFG", - 0x047868C0, - Zero - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "disp_cc_mdss_ahb_clk", - One - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_disp_axi_clk", - One - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "disp_cc_mdss_axi_clk", - One - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "disp_cc_mdss_mdp_clk", - One - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "disp_cc_mdss_vsync_clk", - One - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "disp_cc_mdss_rscc_ahb_clk", - One - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "disp_cc_mdss_rscc_vsync_clk", - One - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "disp_cc_mdss_pclk0_clk", - One - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "disp_cc_mdss_esc0_clk", - One - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "disp_cc_mdss_byte0_clk", - One - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "disp_cc_mdss_byte0_intf_clk", - One - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "disp_cc_mdss_pclk1_clk", - 0x02 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "disp_cc_mdss_esc1_clk", - 0x02 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "disp_cc_mdss_byte1_clk", - 0x02 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "disp_cc_mdss_byte1_intf_clk", - 0x02 - } - } - }, - - Package (0x12) - { - "PSTATE", - 0x03, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_APPSS_PROC", - "ICBID_SLAVE_DISPLAY_CFG", - 0x047868C0, - Zero - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "disp_cc_mdss_ahb_clk", - One - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_disp_axi_clk", - One - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "disp_cc_mdss_axi_clk", - One - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "disp_cc_mdss_mdp_clk", - One - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "disp_cc_mdss_vsync_clk", - One - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "disp_cc_mdss_rscc_ahb_clk", - One - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "disp_cc_mdss_rscc_vsync_clk", - One - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "disp_cc_mdss_pclk0_clk", - 0x02 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "disp_cc_mdss_esc0_clk", - 0x02 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "disp_cc_mdss_byte0_clk", - 0x02 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "disp_cc_mdss_byte0_intf_clk", - 0x02 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "disp_cc_mdss_pclk1_clk", - One - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "disp_cc_mdss_esc1_clk", - One - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "disp_cc_mdss_byte1_clk", - One - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "disp_cc_mdss_byte1_intf_clk", - One - } - } - }, - - Package (0x12) - { - "PSTATE", - 0x04, - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "disp_cc_mdss_mdp_clk", - 0x02 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "disp_cc_mdss_vsync_clk", - 0x02 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "disp_cc_mdss_rscc_ahb_clk", - 0x02 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "disp_cc_mdss_rscc_vsync_clk", - 0x02 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "disp_cc_mdss_pclk0_clk", - 0x02 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "disp_cc_mdss_esc0_clk", - 0x02 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "disp_cc_mdss_byte0_clk", - 0x02 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "disp_cc_mdss_byte0_intf_clk", - 0x02 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "disp_cc_mdss_pclk1_clk", - 0x02 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "disp_cc_mdss_esc1_clk", - 0x02 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "disp_cc_mdss_byte1_clk", - 0x02 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "disp_cc_mdss_byte1_intf_clk", - 0x02 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "disp_cc_mdss_axi_clk", - 0x02 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_disp_axi_clk", - 0x02 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "disp_cc_mdss_ahb_clk", - 0x02 - } - }, - - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_APPSS_PROC", - "ICBID_SLAVE_DISPLAY_CFG", - Zero, - Zero - } - } - }, - - Package (0x02) - { - "PRELOAD_PSTATE", - Zero - }, - - Package (0x02) - { - "PREPARE_PSTATE", - Zero - }, - - Package (0x02) - { - "ABANDON_PSTATE", - Zero - } - }, - - Package (0x12) - { - "PSTATE_SET", - 0x03, - Package (0x04) - { - "PSTATE", - Zero, - Package (0x02) - { - "CLOCK", - Package (0x04) - { - "disp_cc_mdss_mdp_clk", - 0x03, - 0x19A14780, - One - } - }, - - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_APPSS_PROC", - "ICBID_SLAVE_DISPLAY_CFG", - 0x11C31E80, - Zero - } - } - }, - - Package (0x04) - { - "PSTATE", - One, - Package (0x02) - { - "CLOCK", - Package (0x04) - { - "disp_cc_mdss_mdp_clk", - 0x03, - 0x18964020, - One - } - }, - - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_APPSS_PROC", - "ICBID_SLAVE_DISPLAY_CFG", - 0x11C31E80, - Zero - } - } - }, - - Package (0x04) - { - "PSTATE", - 0x02, - Package (0x02) - { - "CLOCK", - Package (0x04) - { - "disp_cc_mdss_mdp_clk", - 0x03, - 0x14810600, - One - } - }, - - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_APPSS_PROC", - "ICBID_SLAVE_DISPLAY_CFG", - 0x08D24D00, - Zero - } - } - }, - - Package (0x04) - { - "PSTATE", - 0x03, - Package (0x02) - { - "CLOCK", - Package (0x04) - { - "disp_cc_mdss_mdp_clk", - 0x03, - 0x11E1A300, - One - } - }, - - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_APPSS_PROC", - "ICBID_SLAVE_DISPLAY_CFG", - 0x08D24D00, - Zero - } - } - }, - - Package (0x04) - { - "PSTATE", - 0x04, - Package (0x02) - { - "CLOCK", - Package (0x04) - { - "disp_cc_mdss_mdp_clk", - 0x03, - 0x10642AC0, - One - } - }, - - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_APPSS_PROC", - "ICBID_SLAVE_DISPLAY_CFG", - 0x08D24D00, - Zero - } - } - }, - - Package (0x04) - { - "PSTATE", - 0x05, - Package (0x02) - { - "CLOCK", - Package (0x04) - { - "disp_cc_mdss_mdp_clk", - 0x03, - 0x0BEBC200, - One - } - }, - - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_APPSS_PROC", - "ICBID_SLAVE_DISPLAY_CFG", - 0x08D24D00, - Zero - } - } - }, - - Package (0x04) - { - "PSTATE", - 0x06, - Package (0x02) - { - "CLOCK", - Package (0x04) - { - "disp_cc_mdss_mdp_clk", - 0x03, - 0x0A37CADB, - One - } - }, - - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_APPSS_PROC", - "ICBID_SLAVE_DISPLAY_CFG", - 0x047868C0, - Zero - } - } - }, - - Package (0x04) - { - "PSTATE", - 0x07, - Package (0x02) - { - "CLOCK", - Package (0x04) - { - "disp_cc_mdss_mdp_clk", - 0x03, - 0x09D5B340, - One - } - }, - - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_APPSS_PROC", - "ICBID_SLAVE_DISPLAY_CFG", - 0x047868C0, - Zero - } - } - }, - - Package (0x04) - { - "PSTATE", - 0x08, - Package (0x02) - { - "CLOCK", - Package (0x04) - { - "disp_cc_mdss_mdp_clk", - 0x03, - 0x08F0D180, - One - } - }, - - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_APPSS_PROC", - "ICBID_SLAVE_DISPLAY_CFG", - 0x047868C0, - Zero - } - } - }, - - Package (0x04) - { - "PSTATE", - 0x09, - Package (0x02) - { - "CLOCK", - Package (0x04) - { - "disp_cc_mdss_mdp_clk", - 0x03, - 0x05F5E100, - One - } - }, - - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_APPSS_PROC", - "ICBID_SLAVE_DISPLAY_CFG", - 0x047868C0, - Zero - } - } - }, - - Package (0x04) - { - "PSTATE", - 0x0A, - Package (0x02) - { - "CLOCK", - Package (0x04) - { - "disp_cc_mdss_mdp_clk", - 0x03, - 0x051BD4B0, - One - } - }, - - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_APPSS_PROC", - "ICBID_SLAVE_DISPLAY_CFG", - 0x047868C0, - Zero - } - } - }, - - Package (0x04) - { - "PSTATE", - 0x0B, - Package (0x02) - { - "CLOCK", - Package (0x04) - { - "disp_cc_mdss_mdp_clk", - 0x03, - 0x0124F800, - One - } - }, - - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_APPSS_PROC", - "ICBID_SLAVE_DISPLAY_CFG", - 0x047868C0, - Zero - } - } - }, - - Package (0x04) - { - "PSTATE", - 0x0C, - Package (0x02) - { - "CLOCK", - Package (0x04) - { - "disp_cc_mdss_mdp_clk", - 0x03, - Zero, - One - } - }, - - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_APPSS_PROC", - "ICBID_SLAVE_DISPLAY_CFG", - Zero, - Zero - } - } - }, - - Package (0x02) - { - "PRELOAD_PSTATE", - 0x04 - }, - - Package (0x02) - { - "PREPARE_PSTATE", - 0x04 - }, - - Package (0x02) - { - "ABANDON_PSTATE", - 0x04 - } - }, - - Package (0x30) - { - "PSTATE_SET", - 0x04, - Package (0x04) - { - "PSTATE", - Zero, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_MDP0", - "ICBID_SLAVE_MNOC_HF_MEM_NOC", - 0x000000031A4A9F80, - 0x000000031A4A9F80 - } - }, - - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_MNOC_HF_MEM_NOC", - "ICBID_SLAVE_EBI1", - 0x2FAF0800, - 0x000000031A4A9F80 - } - } - }, - - Package (0x04) - { - "PSTATE", - One, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_MDP0", - "ICBID_SLAVE_MNOC_HF_MEM_NOC", - 0x0000000302731B80, - 0x0000000302731B80 - } - }, - - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_MNOC_HF_MEM_NOC", - "ICBID_SLAVE_EBI1", - 0x2FAF0800, - 0x0000000302731B80 - } - } - }, - - Package (0x04) - { - "PSTATE", - 0x02, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_MDP0", - "ICBID_SLAVE_MNOC_HF_MEM_NOC", - 0x00000002EA9B9780, - 0x00000002EA9B9780 - } - }, - - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_MNOC_HF_MEM_NOC", - "ICBID_SLAVE_EBI1", - 0x2FAF0800, - 0x00000002EA9B9780 - } - } - }, - - Package (0x04) - { - "PSTATE", - 0x03, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_MDP0", - "ICBID_SLAVE_MNOC_HF_MEM_NOC", - 0x00000002D2C41380, - 0x00000002D2C41380 - } - }, - - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_MNOC_HF_MEM_NOC", - "ICBID_SLAVE_EBI1", - 0x2FAF0800, - 0x00000002D2C41380 - } - } - }, - - Package (0x04) - { - "PSTATE", - 0x04, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_MDP0", - "ICBID_SLAVE_MNOC_HF_MEM_NOC", - 0x00000002BAEC8F80, - 0x00000002BAEC8F80 - } - }, - - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_MNOC_HF_MEM_NOC", - "ICBID_SLAVE_EBI1", - 0x2FAF0800, - 0x00000002BAEC8F80 - } - } - }, - - Package (0x04) - { - "PSTATE", - 0x05, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_MDP0", - "ICBID_SLAVE_MNOC_HF_MEM_NOC", - 0x00000002A3150B80, - 0x00000002A3150B80 - } - }, - - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_MNOC_HF_MEM_NOC", - "ICBID_SLAVE_EBI1", - 0x2FAF0800, - 0x00000002A3150B80 - } - } - }, - - Package (0x04) - { - "PSTATE", - 0x06, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_MDP0", - "ICBID_SLAVE_MNOC_HF_MEM_NOC", - 0x000000028B3D8780, - 0x000000028B3D8780 - } - }, - - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_MNOC_HF_MEM_NOC", - "ICBID_SLAVE_EBI1", - 0x2FAF0800, - 0x000000028B3D8780 - } - } - }, - - Package (0x04) - { - "PSTATE", - 0x07, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_MDP0", - "ICBID_SLAVE_MNOC_HF_MEM_NOC", - 0x0000000273660380, - 0x0000000273660380 - } - }, - - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_MNOC_HF_MEM_NOC", - "ICBID_SLAVE_EBI1", - 0x2FAF0800, - 0x0000000273660380 - } - } - }, - - Package (0x04) - { - "PSTATE", - 0x08, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_MDP0", - "ICBID_SLAVE_MNOC_HF_MEM_NOC", - 0x000000025B8E7F80, - 0x000000025B8E7F80 - } - }, - - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_MNOC_HF_MEM_NOC", - "ICBID_SLAVE_EBI1", - 0x2FAF0800, - 0x000000025B8E7F80 - } - } - }, - - Package (0x04) - { - "PSTATE", - 0x09, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_MDP0", - "ICBID_SLAVE_MNOC_HF_MEM_NOC", - 0x000000023C346000, - 0x000000023C346000 - } - }, - - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_MNOC_HF_MEM_NOC", - "ICBID_SLAVE_EBI1", - 0x2FAF0800, - 0x000000023C346000 - } - } - }, - - Package (0x04) - { - "PSTATE", - 0x0A, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_MDP0", - "ICBID_SLAVE_MNOC_HF_MEM_NOC", - 0x000000023C346000, - 0x00000002245CDC00 - } - }, - - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_MNOC_HF_MEM_NOC", - "ICBID_SLAVE_EBI1", - 0x2FAF0800, - 0x00000002245CDC00 - } - } - }, - - Package (0x04) - { - "PSTATE", - 0x0B, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_MDP0", - "ICBID_SLAVE_MNOC_HF_MEM_NOC", - 0x000000023C346000, - 0x000000020C855800 - } - }, - - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_MNOC_HF_MEM_NOC", - "ICBID_SLAVE_EBI1", - 0x2FAF0800, - 0x000000020C855800 - } - } - }, - - Package (0x04) - { - "PSTATE", - 0x0C, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_MDP0", - "ICBID_SLAVE_MNOC_HF_MEM_NOC", - 0x000000023C346000, - 0x00000001F4ADD400 - } - }, - - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_MNOC_HF_MEM_NOC", - "ICBID_SLAVE_EBI1", - 0x2FAF0800, - 0x00000001F4ADD400 - } - } - }, - - Package (0x04) - { - "PSTATE", - 0x0D, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_MDP0", - "ICBID_SLAVE_MNOC_HF_MEM_NOC", - 0x000000023C346000, - 0x00000001DCD65000 - } - }, - - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_MNOC_HF_MEM_NOC", - "ICBID_SLAVE_EBI1", - 0x2FAF0800, - 0x00000001DCD65000 - } - } - }, - - Package (0x04) - { - "PSTATE", - 0x0E, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_MDP0", - "ICBID_SLAVE_MNOC_HF_MEM_NOC", - 0x000000023C346000, - 0x00000001C4FECC00 - } - }, - - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_MNOC_HF_MEM_NOC", - "ICBID_SLAVE_EBI1", - 0x2FAF0800, - 0x00000001C4FECC00 - } - } - }, - - Package (0x04) - { - "PSTATE", - 0x0F, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_MDP0", - "ICBID_SLAVE_MNOC_HF_MEM_NOC", - 0x000000023C346000, - 0x00000001AD274800 - } - }, - - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_MNOC_HF_MEM_NOC", - "ICBID_SLAVE_EBI1", - 0x2FAF0800, - 0x00000001AD274800 - } - } - }, - - Package (0x04) - { - "PSTATE", - 0x10, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_MDP0", - "ICBID_SLAVE_MNOC_HF_MEM_NOC", - 0x000000023C346000, - 0x00000001954FC400 - } - }, - - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_MNOC_HF_MEM_NOC", - "ICBID_SLAVE_EBI1", - 0x2FAF0800, - 0x00000001954FC400 - } - } - }, - - Package (0x04) - { - "PSTATE", - 0x11, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_MDP0", - "ICBID_SLAVE_MNOC_HF_MEM_NOC", - 0x000000023C346000, - 0x000000017D784000 - } - }, - - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_MNOC_HF_MEM_NOC", - "ICBID_SLAVE_EBI1", - 0x2FAF0800, - 0x000000017D784000 - } - } - }, - - Package (0x04) - { - "PSTATE", - 0x12, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_MDP0", - "ICBID_SLAVE_MNOC_HF_MEM_NOC", - 0x000000023C346000, - 0x0000000165A0BC00 - } - }, - - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_MNOC_HF_MEM_NOC", - "ICBID_SLAVE_EBI1", - 0x2FAF0800, - 0x0000000165A0BC00 - } - } - }, - - Package (0x04) - { - "PSTATE", - 0x13, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_MDP0", - "ICBID_SLAVE_MNOC_HF_MEM_NOC", - 0x000000023C346000, - 0x000000014DC93800 - } - }, - - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_MNOC_HF_MEM_NOC", - "ICBID_SLAVE_EBI1", - 0x2FAF0800, - 0x000000014DC93800 - } - } - }, - - Package (0x04) - { - "PSTATE", - 0x14, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_MDP0", - "ICBID_SLAVE_MNOC_HF_MEM_NOC", - 0x000000023C346000, - 0x0000000135F1B400 - } - }, - - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_MNOC_HF_MEM_NOC", - "ICBID_SLAVE_EBI1", - 0x2FAF0800, - 0x0000000135F1B400 - } - } - }, - - Package (0x04) - { - "PSTATE", - 0x15, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_MDP0", - "ICBID_SLAVE_MNOC_HF_MEM_NOC", - 0x000000023C346000, - 0x000000011E1A3000 - } - }, - - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_MNOC_HF_MEM_NOC", - "ICBID_SLAVE_EBI1", - 0x2FAF0800, - 0x000000011E1A3000 - } - } - }, - - Package (0x04) - { - "PSTATE", - 0x16, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_MDP0", - "ICBID_SLAVE_MNOC_HF_MEM_NOC", - 0x000000023C346000, - 0x000000010642AC00 - } - }, - - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_MNOC_HF_MEM_NOC", - "ICBID_SLAVE_EBI1", - 0x2FAF0800, - 0x000000010642AC00 - } - } - }, - - Package (0x04) - { - "PSTATE", - 0x17, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_MDP0", - "ICBID_SLAVE_MNOC_HF_MEM_NOC", - 0x000000023C346000, - 0xEE6B2800 - } - }, - - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_MNOC_HF_MEM_NOC", - "ICBID_SLAVE_EBI1", - 0x2FAF0800, - 0xEE6B2800 - } - } - }, - - Package (0x04) - { - "PSTATE", - 0x18, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_MDP0", - "ICBID_SLAVE_MNOC_HF_MEM_NOC", - 0x000000023C346000, - 0xD693A400 - } - }, - - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_MNOC_HF_MEM_NOC", - "ICBID_SLAVE_EBI1", - 0x2FAF0800, - 0xD693A400 - } - } - }, - - Package (0x04) - { - "PSTATE", - 0x19, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_MDP0", - "ICBID_SLAVE_MNOC_HF_MEM_NOC", - 0x000000023C346000, - 0xBEBC2000 - } - }, - - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_MNOC_HF_MEM_NOC", - "ICBID_SLAVE_EBI1", - 0x2FAF0800, - 0xBEBC2000 - } - } - }, - - Package (0x04) - { - "PSTATE", - 0x1A, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_MDP0", - "ICBID_SLAVE_MNOC_HF_MEM_NOC", - 0x00000001F4ADD400, - 0xA6E49C00 - } - }, - - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_MNOC_HF_MEM_NOC", - "ICBID_SLAVE_EBI1", - 0x2FAF0800, - 0xA6E49C00 - } - } - }, - - Package (0x04) - { - "PSTATE", - 0x1B, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_MDP0", - "ICBID_SLAVE_MNOC_HF_MEM_NOC", - 0x00000001AD274800, - 0x8F0D1800 - } - }, - - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_MNOC_HF_MEM_NOC", - "ICBID_SLAVE_EBI1", - 0x2FAF0800, - 0x8F0D1800 - } - } - }, - - Package (0x04) - { - "PSTATE", - 0x1C, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_MDP0", - "ICBID_SLAVE_MNOC_HF_MEM_NOC", - 0x0000000165A0BC00, - 0x77359400 - } - }, - - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_MNOC_HF_MEM_NOC", - "ICBID_SLAVE_EBI1", - 0x2FAF0800, - 0x77359400 - } - } - }, - - Package (0x04) - { - "PSTATE", - 0x1D, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_MDP0", - "ICBID_SLAVE_MNOC_HF_MEM_NOC", - 0x000000011E1A3000, - 0x5F5E1000 - } - }, - - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_MNOC_HF_MEM_NOC", - "ICBID_SLAVE_EBI1", - 0x2FAF0800, - 0x5F5E1000 - } - } - }, - - Package (0x04) - { - "PSTATE", - 0x1E, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_MDP0", - "ICBID_SLAVE_MNOC_HF_MEM_NOC", - 0x000000011E1A3000, - 0x59682F00 - } - }, - - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_MNOC_HF_MEM_NOC", - "ICBID_SLAVE_EBI1", - 0x2FAF0800, - 0x59682F00 - } - } - }, - - Package (0x04) - { - "PSTATE", - 0x1F, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_MDP0", - "ICBID_SLAVE_MNOC_HF_MEM_NOC", - 0x000000011E1A3000, - 0x53724E00 - } - }, - - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_MNOC_HF_MEM_NOC", - "ICBID_SLAVE_EBI1", - 0x2FAF0800, - 0x53724E00 - } - } - }, - - Package (0x04) - { - "PSTATE", - 0x20, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_MDP0", - "ICBID_SLAVE_MNOC_HF_MEM_NOC", - 0x000000011E1A3000, - 0x4D7C6D00 - } - }, - - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_MNOC_HF_MEM_NOC", - "ICBID_SLAVE_EBI1", - 0x2FAF0800, - 0x4D7C6D00 - } - } - }, - - Package (0x04) - { - "PSTATE", - 0x21, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_MDP0", - "ICBID_SLAVE_MNOC_HF_MEM_NOC", - 0x000000011E1A3000, - 0x47868C00 - } - }, - - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_MNOC_HF_MEM_NOC", - "ICBID_SLAVE_EBI1", - 0x2FAF0800, - 0x47868C00 - } - } - }, - - Package (0x04) - { - "PSTATE", - 0x22, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_MDP0", - "ICBID_SLAVE_MNOC_HF_MEM_NOC", - 0x000000011E1A3000, - 0x4190AB00 - } - }, - - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_MNOC_HF_MEM_NOC", - "ICBID_SLAVE_EBI1", - 0x2FAF0800, - 0x4190AB00 - } - } - }, - - Package (0x04) - { - "PSTATE", - 0x23, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_MDP0", - "ICBID_SLAVE_MNOC_HF_MEM_NOC", - 0x000000011E1A3000, - 0x3B9ACA00 - } - }, - - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_MNOC_HF_MEM_NOC", - "ICBID_SLAVE_EBI1", - 0x2FAF0800, - 0x3B9ACA00 - } - } - }, - - Package (0x04) - { - "PSTATE", - 0x24, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_MDP0", - "ICBID_SLAVE_MNOC_HF_MEM_NOC", - 0x000000011E1A3000, - 0x35A4E900 - } - }, - - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_MNOC_HF_MEM_NOC", - "ICBID_SLAVE_EBI1", - 0x2FAF0800, - 0x35A4E900 - } - } - }, - - Package (0x04) - { - "PSTATE", - 0x25, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_MDP0", - "ICBID_SLAVE_MNOC_HF_MEM_NOC", - 0x000000011E1A3000, - 0x2FAF0800 - } - }, - - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_MNOC_HF_MEM_NOC", - "ICBID_SLAVE_EBI1", - 0x2FAF0800, - 0x2FAF0800 - } - } - }, - - Package (0x04) - { - "PSTATE", - 0x26, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_MDP0", - "ICBID_SLAVE_MNOC_HF_MEM_NOC", - 0x000000011E1A3000, - 0x29B92700 - } - }, - - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_MNOC_HF_MEM_NOC", - "ICBID_SLAVE_EBI1", - 0x2FAF0800, - 0x29B92700 - } - } - }, - - Package (0x04) - { - "PSTATE", - 0x27, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_MDP0", - "ICBID_SLAVE_MNOC_HF_MEM_NOC", - 0x000000011E1A3000, - 0x23C34600 - } - }, - - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_MNOC_HF_MEM_NOC", - "ICBID_SLAVE_EBI1", - 0x2FAF0800, - 0x23C34600 - } - } - }, - - Package (0x04) - { - "PSTATE", - 0x28, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_MDP0", - "ICBID_SLAVE_MNOC_HF_MEM_NOC", - 0x000000011E1A3000, - 0x1DCD6500 - } - }, - - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_MNOC_HF_MEM_NOC", - "ICBID_SLAVE_EBI1", - 0x2FAF0800, - 0x1DCD6500 - } - } - }, - - Package (0x04) - { - "PSTATE", - 0x29, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_MDP0", - "ICBID_SLAVE_MNOC_HF_MEM_NOC", - 0x000000011E1A3000, - 0x17D78400 - } - }, - - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_MNOC_HF_MEM_NOC", - "ICBID_SLAVE_EBI1", - 0x2FAF0800, - 0x17D78400 - } - } - }, - - Package (0x04) - { - "PSTATE", - 0x2A, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_MDP0", - "ICBID_SLAVE_MNOC_HF_MEM_NOC", - Zero, - Zero - } - }, - - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_MNOC_HF_MEM_NOC", - "ICBID_SLAVE_EBI1", - Zero, - Zero - } - } - }, - - Package (0x02) - { - "PRELOAD_PSTATE", - 0x1F - }, - - Package (0x02) - { - "PREPARE_PSTATE", - 0x1F - }, - - Package (0x02) - { - "ABANDON_PSTATE", - 0x1F - } - } - } - } - }) - Return (GPCC) /* \_SB_.PEP0.G7MD.GPCC */ - } - - Method (G8MD, 0, NotSerialized) - { - Name (GPCC, Package (0x01) - { - Package (0x03) - { - "DEVICE", - "\\_SB.GPU0", - Package (0x0B) - { - "COMPONENT", - 0x08, - Package (0x02) - { - "FSTATE", - Zero - }, - - Package (0x04) - { - "FSTATE", - One, - Package (0x18) - { - "ENTER", - Package (0x02) - { - "PMICVREGVOTE", - Package (0x08) - { - "PPP_RESOURCE_ID_LDO1_A", - One, - Zero, - Zero, - Zero, - Zero, - "HLOS_DRV", - "REQUIRED" - } - }, - - Package (0x02) - { - "PMICVREGVOTE", - Package (0x08) - { - "PPP_RESOURCE_ID_LDO24_A", - One, - Zero, - Zero, - Zero, - Zero, - "HLOS_DRV", - "REQUIRED" - } - }, - - Package (0x02) - { - "PMICVREGVOTE", - Package (0x08) - { - "PPP_RESOURCE_ID_LDO26_A", - One, - Zero, - Zero, - Zero, - Zero, - "HLOS_DRV", - "REQUIRED" - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "disp_cc_mdss_ahb_clk", - 0x02 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "disp_cc_mdss_axi_clk", - 0x02 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "disp_cc_mdss_mdp_clk", - 0x02 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "disp_cc_mdss_vsync_clk", - 0x02 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "disp_cc_mdss_rscc_ahb_clk", - 0x02 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "disp_cc_mdss_rscc_vsync_clk", - 0x02 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "disp_cc_mdss_dp_pixel_clk", - 0x02 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "disp_cc_mdss_dp_link_clk", - 0x02 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "disp_cc_mdss_dp_crypto_clk", - 0x02 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "disp_cc_mdss_dp_aux_clk", - 0x02 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "disp_cc_mdss_dp_link_intf_clk", - 0x02 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_usb3_prim_clkref_en", - 0x02 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_usb_phy_cfg_ahb2phy_clk", - 0x02 - } - }, - - Package (0x01) - { - "PSTATE_SAVE" - }, - - Package (0x02) - { - "PSTATE_ADJUST", - Package (0x02) - { - 0x02, - 0x0C - } - }, - - Package (0x02) - { - "PSTATE_ADJUST", - Package (0x02) - { - 0x03, - 0x2A - } - }, - - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_APPSS_PROC", - "ICBID_SLAVE_DISPLAY_CFG", - Zero, - Zero - } - }, - - Package (0x02) - { - "FOOTSWITCH", - Package (0x02) - { - "mdss_core_gdsc", - 0x02 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_disp_ahb_clk", - 0x02 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_disp_xo_clk", - 0x02 - } - } - }, - - Package (0x16) - { - "EXIT", - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_disp_xo_clk", - One - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_disp_ahb_clk", - One - } - }, - - Package (0x02) - { - "FOOTSWITCH", - Package (0x02) - { - "mdss_core_gdsc", - One - } - }, - - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_APPSS_PROC", - "ICBID_SLAVE_DISPLAY_CFG", - 0x047868C0, - Zero - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_usb_phy_cfg_ahb2phy_clk", - One - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_usb3_prim_clkref_en", - One - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "disp_cc_mdss_ahb_clk", - One - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "disp_cc_mdss_axi_clk", - One - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "disp_cc_mdss_mdp_clk", - One - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "disp_cc_mdss_vsync_clk", - One - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "disp_cc_mdss_rscc_ahb_clk", - One - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "disp_cc_mdss_rscc_vsync_clk", - One - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "disp_cc_mdss_dp_pixel_clk", - One - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "disp_cc_mdss_dp_link_clk", - One - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "disp_cc_mdss_dp_crypto_clk", - One - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "disp_cc_mdss_dp_aux_clk", - One - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "disp_cc_mdss_dp_link_intf_clk", - One - } - }, - - Package (0x02) - { - "PMICVREGVOTE", - Package (0x08) - { - "PPP_RESOURCE_ID_LDO26_A", - One, - 0x00124F80, - One, - 0x07, - Zero, - "HLOS_DRV", - "REQUIRED" - } - }, - - Package (0x02) - { - "PMICVREGVOTE", - Package (0x08) - { - "PPP_RESOURCE_ID_LDO24_A", - One, - 0x002F1E80, - One, - 0x07, - Zero, - "HLOS_DRV", - "REQUIRED" - } - }, - - Package (0x02) - { - "PMICVREGVOTE", - Package (0x08) - { - "PPP_RESOURCE_ID_LDO1_A", - One, - 0x000D6D80, - One, - 0x07, - Zero, - "HLOS_DRV", - "REQUIRED" - } - }, - - Package (0x01) - { - "PSTATE_RESTORE" - } - } - }, - - Package (0x02) - { - "INIT_FSTATE", - One - }, - - Package (0x02) - { - "PRELOAD_FSTATE", - Zero - }, - - Package (0x02) - { - "ABANDON_FSTATE", - Zero - }, - - Package (0x07) - { - "PSTATE_SET", - Zero, - Package (0x02) - { - "PSTATE", - Zero - }, - - Package (0x02) - { - "PSTATE", - One - }, - - Package (0x02) - { - "PRELOAD_PSTATE", - Zero - }, - - Package (0x02) - { - "PREPARE_PSTATE", - Zero - }, - - Package (0x02) - { - "ABANDON_PSTATE", - Zero - } - }, - - Package (0x04) - { - "PSTATE_SET", - One, - Package (0x05) - { - "PSTATE", - Zero, - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_disp_xo_clk", - One - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_disp_ahb_clk", - One - } - }, - - Package (0x02) - { - "FOOTSWITCH", - Package (0x02) - { - "mdss_core_gdsc", - One - } - } - }, - - Package (0x05) - { - "PSTATE", - One, - Package (0x02) - { - "FOOTSWITCH", - Package (0x02) - { - "mdss_core_gdsc", - 0x02 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_disp_ahb_clk", - 0x02 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_disp_xo_clk", - 0x02 - } - } - } - }, - - Package (0x12) - { - "PSTATE_SET", - 0x02, - Package (0x04) - { - "PSTATE", - Zero, - Package (0x02) - { - "CLOCK", - Package (0x04) - { - "disp_cc_mdss_mdp_clk", - 0x03, - 0x19A14780, - One - } - }, - - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_APPSS_PROC", - "ICBID_SLAVE_DISPLAY_CFG", - 0x11C31E80, - Zero - } - } - }, - - Package (0x04) - { - "PSTATE", - One, - Package (0x02) - { - "CLOCK", - Package (0x04) - { - "disp_cc_mdss_mdp_clk", - 0x03, - 0x18964020, - One - } - }, - - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_APPSS_PROC", - "ICBID_SLAVE_DISPLAY_CFG", - 0x11C31E80, - Zero - } - } - }, - - Package (0x04) - { - "PSTATE", - 0x02, - Package (0x02) - { - "CLOCK", - Package (0x04) - { - "disp_cc_mdss_mdp_clk", - 0x03, - 0x14810600, - One - } - }, - - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_APPSS_PROC", - "ICBID_SLAVE_DISPLAY_CFG", - 0x08D24D00, - Zero - } - } - }, - - Package (0x04) - { - "PSTATE", - 0x03, - Package (0x02) - { - "CLOCK", - Package (0x04) - { - "disp_cc_mdss_mdp_clk", - 0x03, - 0x11E1A300, - One - } - }, - - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_APPSS_PROC", - "ICBID_SLAVE_DISPLAY_CFG", - 0x08D24D00, - Zero - } - } - }, - - Package (0x04) - { - "PSTATE", - 0x04, - Package (0x02) - { - "CLOCK", - Package (0x04) - { - "disp_cc_mdss_mdp_clk", - 0x03, - 0x10642AC0, - One - } - }, - - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_APPSS_PROC", - "ICBID_SLAVE_DISPLAY_CFG", - 0x08D24D00, - Zero - } - } - }, - - Package (0x04) - { - "PSTATE", - 0x05, - Package (0x02) - { - "CLOCK", - Package (0x04) - { - "disp_cc_mdss_mdp_clk", - 0x03, - 0x0BEBC200, - One - } - }, - - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_APPSS_PROC", - "ICBID_SLAVE_DISPLAY_CFG", - 0x08D24D00, - Zero - } - } - }, - - Package (0x04) - { - "PSTATE", - 0x06, - Package (0x02) - { - "CLOCK", - Package (0x04) - { - "disp_cc_mdss_mdp_clk", - 0x03, - 0x0A37CADB, - One - } - }, - - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_APPSS_PROC", - "ICBID_SLAVE_DISPLAY_CFG", - 0x047868C0, - Zero - } - } - }, - - Package (0x04) - { - "PSTATE", - 0x07, - Package (0x02) - { - "CLOCK", - Package (0x04) - { - "disp_cc_mdss_mdp_clk", - 0x03, - 0x09D5B340, - One - } - }, - - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_APPSS_PROC", - "ICBID_SLAVE_DISPLAY_CFG", - 0x047868C0, - Zero - } - } - }, - - Package (0x04) - { - "PSTATE", - 0x08, - Package (0x02) - { - "CLOCK", - Package (0x04) - { - "disp_cc_mdss_mdp_clk", - 0x03, - 0x08F0D180, - One - } - }, - - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_APPSS_PROC", - "ICBID_SLAVE_DISPLAY_CFG", - 0x047868C0, - Zero - } - } - }, - - Package (0x04) - { - "PSTATE", - 0x09, - Package (0x02) - { - "CLOCK", - Package (0x04) - { - "disp_cc_mdss_mdp_clk", - 0x03, - 0x05F5E100, - One - } - }, - - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_APPSS_PROC", - "ICBID_SLAVE_DISPLAY_CFG", - 0x047868C0, - Zero - } - } - }, - - Package (0x04) - { - "PSTATE", - 0x0A, - Package (0x02) - { - "CLOCK", - Package (0x04) - { - "disp_cc_mdss_mdp_clk", - 0x03, - 0x051BD4B0, - One - } - }, - - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_APPSS_PROC", - "ICBID_SLAVE_DISPLAY_CFG", - 0x047868C0, - Zero - } - } - }, - - Package (0x04) - { - "PSTATE", - 0x0B, - Package (0x02) - { - "CLOCK", - Package (0x04) - { - "disp_cc_mdss_mdp_clk", - 0x03, - 0x0124F800, - One - } - }, - - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_APPSS_PROC", - "ICBID_SLAVE_DISPLAY_CFG", - 0x047868C0, - Zero - } - } - }, - - Package (0x04) - { - "PSTATE", - 0x0C, - Package (0x02) - { - "CLOCK", - Package (0x04) - { - "disp_cc_mdss_mdp_clk", - 0x03, - Zero, - One - } - }, - - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_APPSS_PROC", - "ICBID_SLAVE_DISPLAY_CFG", - Zero, - Zero - } - } - }, - - Package (0x02) - { - "PRELOAD_PSTATE", - 0x04 - }, - - Package (0x02) - { - "PREPARE_PSTATE", - 0x04 - }, - - Package (0x02) - { - "ABANDON_PSTATE", - 0x04 - } - }, - - Package (0x30) - { - "PSTATE_SET", - 0x03, - Package (0x04) - { - "PSTATE", - Zero, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_MDP0", - "ICBID_SLAVE_MNOC_HF_MEM_NOC", - 0x000000031A4A9F80, - 0x000000031A4A9F80 - } - }, - - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_MNOC_HF_MEM_NOC", - "ICBID_SLAVE_EBI1", - 0x2FAF0800, - 0x000000031A4A9F80 - } - } - }, - - Package (0x04) - { - "PSTATE", - One, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_MDP0", - "ICBID_SLAVE_MNOC_HF_MEM_NOC", - 0x0000000302731B80, - 0x0000000302731B80 - } - }, - - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_MNOC_HF_MEM_NOC", - "ICBID_SLAVE_EBI1", - 0x2FAF0800, - 0x0000000302731B80 - } - } - }, - - Package (0x04) - { - "PSTATE", - 0x02, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_MDP0", - "ICBID_SLAVE_MNOC_HF_MEM_NOC", - 0x00000002EA9B9780, - 0x00000002EA9B9780 - } - }, - - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_MNOC_HF_MEM_NOC", - "ICBID_SLAVE_EBI1", - 0x2FAF0800, - 0x00000002EA9B9780 - } - } - }, - - Package (0x04) - { - "PSTATE", - 0x03, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_MDP0", - "ICBID_SLAVE_MNOC_HF_MEM_NOC", - 0x00000002D2C41380, - 0x00000002D2C41380 - } - }, - - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_MNOC_HF_MEM_NOC", - "ICBID_SLAVE_EBI1", - 0x2FAF0800, - 0x00000002D2C41380 - } - } - }, - - Package (0x04) - { - "PSTATE", - 0x04, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_MDP0", - "ICBID_SLAVE_MNOC_HF_MEM_NOC", - 0x00000002BAEC8F80, - 0x00000002BAEC8F80 - } - }, - - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_MNOC_HF_MEM_NOC", - "ICBID_SLAVE_EBI1", - 0x2FAF0800, - 0x00000002BAEC8F80 - } - } - }, - - Package (0x04) - { - "PSTATE", - 0x05, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_MDP0", - "ICBID_SLAVE_MNOC_HF_MEM_NOC", - 0x00000002A3150B80, - 0x00000002A3150B80 - } - }, - - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_MNOC_HF_MEM_NOC", - "ICBID_SLAVE_EBI1", - 0x2FAF0800, - 0x00000002A3150B80 - } - } - }, - - Package (0x04) - { - "PSTATE", - 0x06, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_MDP0", - "ICBID_SLAVE_MNOC_HF_MEM_NOC", - 0x000000028B3D8780, - 0x000000028B3D8780 - } - }, - - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_MNOC_HF_MEM_NOC", - "ICBID_SLAVE_EBI1", - 0x2FAF0800, - 0x000000028B3D8780 - } - } - }, - - Package (0x04) - { - "PSTATE", - 0x07, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_MDP0", - "ICBID_SLAVE_MNOC_HF_MEM_NOC", - 0x0000000273660380, - 0x0000000273660380 - } - }, - - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_MNOC_HF_MEM_NOC", - "ICBID_SLAVE_EBI1", - 0x2FAF0800, - 0x0000000273660380 - } - } - }, - - Package (0x04) - { - "PSTATE", - 0x08, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_MDP0", - "ICBID_SLAVE_MNOC_HF_MEM_NOC", - 0x000000025B8E7F80, - 0x000000025B8E7F80 - } - }, - - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_MNOC_HF_MEM_NOC", - "ICBID_SLAVE_EBI1", - 0x2FAF0800, - 0x000000025B8E7F80 - } - } - }, - - Package (0x04) - { - "PSTATE", - 0x09, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_MDP0", - "ICBID_SLAVE_MNOC_HF_MEM_NOC", - 0x000000023C346000, - 0x000000023C346000 - } - }, - - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_MNOC_HF_MEM_NOC", - "ICBID_SLAVE_EBI1", - 0x2FAF0800, - 0x000000023C346000 - } - } - }, - - Package (0x04) - { - "PSTATE", - 0x0A, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_MDP0", - "ICBID_SLAVE_MNOC_HF_MEM_NOC", - 0x000000023C346000, - 0x00000002245CDC00 - } - }, - - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_MNOC_HF_MEM_NOC", - "ICBID_SLAVE_EBI1", - 0x2FAF0800, - 0x00000002245CDC00 - } - } - }, - - Package (0x04) - { - "PSTATE", - 0x0B, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_MDP0", - "ICBID_SLAVE_MNOC_HF_MEM_NOC", - 0x000000023C346000, - 0x000000020C855800 - } - }, - - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_MNOC_HF_MEM_NOC", - "ICBID_SLAVE_EBI1", - 0x2FAF0800, - 0x000000020C855800 - } - } - }, - - Package (0x04) - { - "PSTATE", - 0x0C, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_MDP0", - "ICBID_SLAVE_MNOC_HF_MEM_NOC", - 0x000000023C346000, - 0x00000001F4ADD400 - } - }, - - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_MNOC_HF_MEM_NOC", - "ICBID_SLAVE_EBI1", - 0x2FAF0800, - 0x00000001F4ADD400 - } - } - }, - - Package (0x04) - { - "PSTATE", - 0x0D, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_MDP0", - "ICBID_SLAVE_MNOC_HF_MEM_NOC", - 0x000000023C346000, - 0x00000001DCD65000 - } - }, - - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_MNOC_HF_MEM_NOC", - "ICBID_SLAVE_EBI1", - 0x2FAF0800, - 0x00000001DCD65000 - } - } - }, - - Package (0x04) - { - "PSTATE", - 0x0E, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_MDP0", - "ICBID_SLAVE_MNOC_HF_MEM_NOC", - 0x000000023C346000, - 0x00000001C4FECC00 - } - }, - - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_MNOC_HF_MEM_NOC", - "ICBID_SLAVE_EBI1", - 0x2FAF0800, - 0x00000001C4FECC00 - } - } - }, - - Package (0x04) - { - "PSTATE", - 0x0F, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_MDP0", - "ICBID_SLAVE_MNOC_HF_MEM_NOC", - 0x000000023C346000, - 0x00000001AD274800 - } - }, - - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_MNOC_HF_MEM_NOC", - "ICBID_SLAVE_EBI1", - 0x2FAF0800, - 0x00000001AD274800 - } - } - }, - - Package (0x04) - { - "PSTATE", - 0x10, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_MDP0", - "ICBID_SLAVE_MNOC_HF_MEM_NOC", - 0x000000023C346000, - 0x00000001954FC400 - } - }, - - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_MNOC_HF_MEM_NOC", - "ICBID_SLAVE_EBI1", - 0x2FAF0800, - 0x00000001954FC400 - } - } - }, - - Package (0x04) - { - "PSTATE", - 0x11, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_MDP0", - "ICBID_SLAVE_MNOC_HF_MEM_NOC", - 0x000000023C346000, - 0x000000017D784000 - } - }, - - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_MNOC_HF_MEM_NOC", - "ICBID_SLAVE_EBI1", - 0x2FAF0800, - 0x000000017D784000 - } - } - }, - - Package (0x04) - { - "PSTATE", - 0x12, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_MDP0", - "ICBID_SLAVE_MNOC_HF_MEM_NOC", - 0x000000023C346000, - 0x0000000165A0BC00 - } - }, - - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_MNOC_HF_MEM_NOC", - "ICBID_SLAVE_EBI1", - 0x2FAF0800, - 0x0000000165A0BC00 - } - } - }, - - Package (0x04) - { - "PSTATE", - 0x13, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_MDP0", - "ICBID_SLAVE_MNOC_HF_MEM_NOC", - 0x000000023C346000, - 0x000000014DC93800 - } - }, - - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_MNOC_HF_MEM_NOC", - "ICBID_SLAVE_EBI1", - 0x2FAF0800, - 0x000000014DC93800 - } - } - }, - - Package (0x04) - { - "PSTATE", - 0x14, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_MDP0", - "ICBID_SLAVE_MNOC_HF_MEM_NOC", - 0x000000023C346000, - 0x0000000135F1B400 - } - }, - - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_MNOC_HF_MEM_NOC", - "ICBID_SLAVE_EBI1", - 0x2FAF0800, - 0x0000000135F1B400 - } - } - }, - - Package (0x04) - { - "PSTATE", - 0x15, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_MDP0", - "ICBID_SLAVE_MNOC_HF_MEM_NOC", - 0x000000023C346000, - 0x000000011E1A3000 - } - }, - - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_MNOC_HF_MEM_NOC", - "ICBID_SLAVE_EBI1", - 0x2FAF0800, - 0x000000011E1A3000 - } - } - }, - - Package (0x04) - { - "PSTATE", - 0x16, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_MDP0", - "ICBID_SLAVE_MNOC_HF_MEM_NOC", - 0x000000023C346000, - 0x000000010642AC00 - } - }, - - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_MNOC_HF_MEM_NOC", - "ICBID_SLAVE_EBI1", - 0x2FAF0800, - 0x000000010642AC00 - } - } - }, - - Package (0x04) - { - "PSTATE", - 0x17, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_MDP0", - "ICBID_SLAVE_MNOC_HF_MEM_NOC", - 0x000000023C346000, - 0xEE6B2800 - } - }, - - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_MNOC_HF_MEM_NOC", - "ICBID_SLAVE_EBI1", - 0x2FAF0800, - 0xEE6B2800 - } - } - }, - - Package (0x04) - { - "PSTATE", - 0x18, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_MDP0", - "ICBID_SLAVE_MNOC_HF_MEM_NOC", - 0x000000023C346000, - 0xD693A400 - } - }, - - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_MNOC_HF_MEM_NOC", - "ICBID_SLAVE_EBI1", - 0x2FAF0800, - 0xD693A400 - } - } - }, - - Package (0x04) - { - "PSTATE", - 0x19, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_MDP0", - "ICBID_SLAVE_MNOC_HF_MEM_NOC", - 0x000000023C346000, - 0xBEBC2000 - } - }, - - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_MNOC_HF_MEM_NOC", - "ICBID_SLAVE_EBI1", - 0x2FAF0800, - 0xBEBC2000 - } - } - }, - - Package (0x04) - { - "PSTATE", - 0x1A, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_MDP0", - "ICBID_SLAVE_MNOC_HF_MEM_NOC", - 0x00000001F4ADD400, - 0xA6E49C00 - } - }, - - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_MNOC_HF_MEM_NOC", - "ICBID_SLAVE_EBI1", - 0x2FAF0800, - 0xA6E49C00 - } - } - }, - - Package (0x04) - { - "PSTATE", - 0x1B, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_MDP0", - "ICBID_SLAVE_MNOC_HF_MEM_NOC", - 0x00000001AD274800, - 0x8F0D1800 - } - }, - - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_MNOC_HF_MEM_NOC", - "ICBID_SLAVE_EBI1", - 0x2FAF0800, - 0x8F0D1800 - } - } - }, - - Package (0x04) - { - "PSTATE", - 0x1C, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_MDP0", - "ICBID_SLAVE_MNOC_HF_MEM_NOC", - 0x0000000165A0BC00, - 0x77359400 - } - }, - - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_MNOC_HF_MEM_NOC", - "ICBID_SLAVE_EBI1", - 0x2FAF0800, - 0x77359400 - } - } - }, - - Package (0x04) - { - "PSTATE", - 0x1D, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_MDP0", - "ICBID_SLAVE_MNOC_HF_MEM_NOC", - 0x000000011E1A3000, - 0x5F5E1000 - } - }, - - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_MNOC_HF_MEM_NOC", - "ICBID_SLAVE_EBI1", - 0x2FAF0800, - 0x5F5E1000 - } - } - }, - - Package (0x04) - { - "PSTATE", - 0x1E, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_MDP0", - "ICBID_SLAVE_MNOC_HF_MEM_NOC", - 0x000000011E1A3000, - 0x59682F00 - } - }, - - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_MNOC_HF_MEM_NOC", - "ICBID_SLAVE_EBI1", - 0x2FAF0800, - 0x59682F00 - } - } - }, - - Package (0x04) - { - "PSTATE", - 0x1F, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_MDP0", - "ICBID_SLAVE_MNOC_HF_MEM_NOC", - 0x000000011E1A3000, - 0x53724E00 - } - }, - - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_MNOC_HF_MEM_NOC", - "ICBID_SLAVE_EBI1", - 0x2FAF0800, - 0x53724E00 - } - } - }, - - Package (0x04) - { - "PSTATE", - 0x20, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_MDP0", - "ICBID_SLAVE_MNOC_HF_MEM_NOC", - 0x000000011E1A3000, - 0x4D7C6D00 - } - }, - - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_MNOC_HF_MEM_NOC", - "ICBID_SLAVE_EBI1", - 0x2FAF0800, - 0x4D7C6D00 - } - } - }, - - Package (0x04) - { - "PSTATE", - 0x21, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_MDP0", - "ICBID_SLAVE_MNOC_HF_MEM_NOC", - 0x000000011E1A3000, - 0x47868C00 - } - }, - - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_MNOC_HF_MEM_NOC", - "ICBID_SLAVE_EBI1", - 0x2FAF0800, - 0x47868C00 - } - } - }, - - Package (0x04) - { - "PSTATE", - 0x22, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_MDP0", - "ICBID_SLAVE_MNOC_HF_MEM_NOC", - 0x000000011E1A3000, - 0x4190AB00 - } - }, - - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_MNOC_HF_MEM_NOC", - "ICBID_SLAVE_EBI1", - 0x2FAF0800, - 0x4190AB00 - } - } - }, - - Package (0x04) - { - "PSTATE", - 0x23, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_MDP0", - "ICBID_SLAVE_MNOC_HF_MEM_NOC", - 0x000000011E1A3000, - 0x3B9ACA00 - } - }, - - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_MNOC_HF_MEM_NOC", - "ICBID_SLAVE_EBI1", - 0x2FAF0800, - 0x3B9ACA00 - } - } - }, - - Package (0x04) - { - "PSTATE", - 0x24, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_MDP0", - "ICBID_SLAVE_MNOC_HF_MEM_NOC", - 0x000000011E1A3000, - 0x35A4E900 - } - }, - - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_MNOC_HF_MEM_NOC", - "ICBID_SLAVE_EBI1", - 0x2FAF0800, - 0x35A4E900 - } - } - }, - - Package (0x04) - { - "PSTATE", - 0x25, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_MDP0", - "ICBID_SLAVE_MNOC_HF_MEM_NOC", - 0x000000011E1A3000, - 0x2FAF0800 - } - }, - - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_MNOC_HF_MEM_NOC", - "ICBID_SLAVE_EBI1", - 0x2FAF0800, - 0x2FAF0800 - } - } - }, - - Package (0x04) - { - "PSTATE", - 0x26, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_MDP0", - "ICBID_SLAVE_MNOC_HF_MEM_NOC", - 0x000000011E1A3000, - 0x29B92700 - } - }, - - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_MNOC_HF_MEM_NOC", - "ICBID_SLAVE_EBI1", - 0x2FAF0800, - 0x29B92700 - } - } - }, - - Package (0x04) - { - "PSTATE", - 0x27, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_MDP0", - "ICBID_SLAVE_MNOC_HF_MEM_NOC", - 0x000000011E1A3000, - 0x23C34600 - } - }, - - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_MNOC_HF_MEM_NOC", - "ICBID_SLAVE_EBI1", - 0x2FAF0800, - 0x23C34600 - } - } - }, - - Package (0x04) - { - "PSTATE", - 0x28, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_MDP0", - "ICBID_SLAVE_MNOC_HF_MEM_NOC", - 0x000000011E1A3000, - 0x1DCD6500 - } - }, - - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_MNOC_HF_MEM_NOC", - "ICBID_SLAVE_EBI1", - 0x2FAF0800, - 0x1DCD6500 - } - } - }, - - Package (0x04) - { - "PSTATE", - 0x29, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_MDP0", - "ICBID_SLAVE_MNOC_HF_MEM_NOC", - 0x000000011E1A3000, - 0x17D78400 - } - }, - - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_MNOC_HF_MEM_NOC", - "ICBID_SLAVE_EBI1", - 0x2FAF0800, - 0x17D78400 - } - } - }, - - Package (0x04) - { - "PSTATE", - 0x2A, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_MDP0", - "ICBID_SLAVE_MNOC_HF_MEM_NOC", - Zero, - Zero - } - }, - - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_MNOC_HF_MEM_NOC", - "ICBID_SLAVE_EBI1", - Zero, - Zero - } - } - }, - - Package (0x02) - { - "PRELOAD_PSTATE", - 0x1F - }, - - Package (0x02) - { - "PREPARE_PSTATE", - 0x1F - }, - - Package (0x02) - { - "ABANDON_PSTATE", - 0x1F - } - } - } - } - }) - Return (GPCC) /* \_SB_.PEP0.G8MD.GPCC */ - } - - Method (G9MD, 0, NotSerialized) - { - Name (GPCC, Package (0x01) - { - Package (0x03) - { - "DEVICE", - "\\_SB.GPU0", - Package (0x06) - { - "COMPONENT", - 0x09, - Package (0x02) - { - "FSTATE", - Zero - }, - - Package (0x02) - { - "INIT_FSTATE", - Zero - }, - - Package (0x02) - { - "PRELOAD_FSTATE", - Zero - }, - - Package (0x02) - { - "ABANDON_FSTATE", - Zero - } - } - } - }) - Return (GPCC) /* \_SB_.PEP0.G9MD.GPCC */ - } - } - - Scope (PEP0) - { - Method (SMMD, 0, NotSerialized) - { - Return (SMMC) /* \_SB_.PEP0.SMMC */ - } - - Name (SMMC, Package (0x02) - { - Package (0x03) - { - "DEVICE", - "\\_SB.MMU0", - Package (0x04) - { - "COMPONENT", - Zero, - Package (0x03) - { - "FSTATE", - Zero, - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_hlos1_vote_mmu_tcu_clk", - One - } - } - }, - - Package (0x03) - { - "FSTATE", - One, - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_hlos1_vote_mmu_tcu_clk", - 0x02 - } - } - } - } - }, - - Package (0x03) - { - "DEVICE", - "\\_SB.MMU1", - Package (0x04) - { - "COMPONENT", - Zero, - Package (0x04) - { - "FSTATE", - Zero, - Package (0x02) - { - "FOOTSWITCH", - Package (0x02) - { - "gcc_hlos1_vote_gpu_smmu_gds", - One - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_hlos1_vote_gpu_smmu_clk", - One - } - } - }, - - Package (0x04) - { - "FSTATE", - One, - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_hlos1_vote_gpu_smmu_clk", - 0x02 - } - }, - - Package (0x02) - { - "FOOTSWITCH", - Package (0x02) - { - "gcc_hlos1_vote_gpu_smmu_gds", - 0x02 - } - } - } - } - } - }) - } - - Scope (PEP0) - { - Method (OPMD, 0, NotSerialized) - { - Return (OPCC) /* \_SB_.PEP0.OPCC */ - } - - Name (OPCC, Package (0x04) - { - Package (0x04) - { - "DEVICE", - "\\_SB.PEN1", - Package (0x07) - { - "DSTATE", - Zero, - Package (0x02) - { - "TLMMGPIO", - Package (0x06) - { - 0x77, - Zero, - Zero, - Zero, - Zero, - Zero - } - }, - - Package (0x02) - { - "PMICVREGVOTE", - Package (0x06) - { - "PPP_RESOURCE_ID_LDO18_A", - One, - 0x002D2A80, - One, - One, - Zero - } - }, - - Package (0x02) - { - "DELAY", - Package (0x01) - { - 0x14 - } - }, - - Package (0x02) - { - "TLMMGPIO", - Package (0x06) - { - 0x15, - One, - Zero, - One, - Zero, - Zero - } - }, - - Package (0x02) - { - "DELAY", - Package (0x01) - { - 0x78 - } - } - }, - - Package (0x06) - { - "DSTATE", - 0x03, - Package (0x02) - { - "TLMMGPIO", - Package (0x06) - { - 0x15, - Zero, - Zero, - One, - Zero, - Zero - } - }, - - Package (0x02) - { - "PMICVREGVOTE", - Package (0x06) - { - "PPP_RESOURCE_ID_LDO18_A", - One, - Zero, - Zero, - Zero, - Zero - } - }, - - Package (0x02) - { - "DELAY", - Package (0x01) - { - 0x14 - } - }, - - Package (0x02) - { - "TLMMGPIO", - Package (0x06) - { - 0x77, - Zero, - Zero, - Zero, - Zero, - Zero - } - } - } - }, - - Package (0x04) - { - "DEVICE", - "\\_SB.ACC1", - Package (0x04) - { - "DSTATE", - Zero, - Package (0x02) - { - "TLMMGPIO", - Package (0x06) - { - 0x0E, - One, - Zero, - One, - Zero, - Zero - } - }, - - Package (0x02) - { - "DELAY", - Package (0x01) - { - 0x0A - } - } - }, - - Package (0x02) - { - "DSTATE", - 0x03 - } - }, - - Package (0x04) - { - "DEVICE", - "\\_SB.ALS1", - Package (0x04) - { - "DSTATE", - Zero, - Package (0x02) - { - "TLMMGPIO", - Package (0x06) - { - 0x0E, - One, - Zero, - One, - Zero, - Zero - } - }, - - Package (0x02) - { - "DELAY", - Package (0x01) - { - 0x0A - } - } - }, - - Package (0x02) - { - "DSTATE", - 0x03 - } - }, - - Package (0x04) - { - "DEVICE", - "\\_SB.LED1", - Package (0x04) - { - "DSTATE", - Zero, - Package (0x02) - { - "PMICGPIO", - Package (0x08) - { - "IOCTL_PM_GPIO_CONFIG_DIGITAL_OUTPUT", - Zero, - One, - Zero, - Zero, - One, - One, - Zero - } - }, - - Package (0x02) - { - "DELAY", - Package (0x01) - { - 0x0A - } - } - }, - - Package (0x02) - { - "DSTATE", - 0x03 - } - } - }) - } - - Scope (PEP0) - { - Method (SPMD, 0, NotSerialized) - { - Return (SPCC) /* \_SB_.PEP0.SPCC */ - } - - Name (SPCC, Package (0x04) - { - Package (0x05) - { - "DEVICE", - "\\_SB.AMSS", - Package (0x06) - { - "COMPONENT", - Zero, - Package (0x02) - { - "FSTATE", - Zero - }, - - Package (0x0D) - { - "PSTATE", - Zero, - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_boot_rom_ahb_clk", - One - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_mss_cfg_ahb_clk", - One - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_mss_q6_memnoc_axi_clk", - One - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_mss_snoc_axi_clk", - One - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_mss_mfab_axis_clk", - One - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_prng_ahb_clk", - One - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_mss_axis2_clk", - One - } - }, - - Package (0x02) - { - "PMICVREGVOTE", - Package (0x06) - { - "PPP_RESOURCE_ID_SMPS2_C", - 0x02, - 0x000B7980, - One, - Zero, - Zero - } - }, - - Package (0x02) - { - "NPARESOURCE", - Package (0x03) - { - One, - "/arc/client/rail_cx", - 0x0180 - } - }, - - Package (0x02) - { - "NPARESOURCE", - Package (0x03) - { - One, - "/arc/client/rail_mx", - 0x0180 - } - }, - - Package (0x02) - { - "BUSARB", - Package (0x06) - { - 0x03, - "ICBID_MASTER_IPA_CORE", - "ICBID_SLAVE_IPA_CORE", - 0x9218, - Zero, - "HLOS_DRV" - } - } - }, - - Package (0x07) - { - "PSTATE", - One, - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_prng_ahb_clk", - 0x02 - } - }, - - Package (0x02) - { - "PMICVREGVOTE", - Package (0x06) - { - "PPP_RESOURCE_ID_SMPS2_C", - 0x02, - Zero, - Zero, - Zero, - Zero - } - }, - - Package (0x02) - { - "NPARESOURCE", - Package (0x03) - { - One, - "/arc/client/rail_cx", - Zero - } - }, - - Package (0x02) - { - "NPARESOURCE", - Package (0x03) - { - One, - "/arc/client/rail_mx", - Zero - } - }, - - Package (0x02) - { - "BUSARB", - Package (0x06) - { - 0x03, - "ICBID_MASTER_IPA_CORE", - "ICBID_SLAVE_IPA_CORE", - Zero, - Zero, - "HLOS_DRV" - } - } - }, - - Package (0x05) - { - "PSTATE", - 0x02, - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_boot_rom_ahb_clk", - 0x02 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_prng_ahb_clk", - 0x02 - } - }, - - Package (0x02) - { - "PMICVREGVOTE", - Package (0x06) - { - "PPP_RESOURCE_ID_SMPS2_C", - 0x02, - Zero, - Zero, - Zero, - Zero - } - } - } - }, - - Package (0x02) - { - "DSTATE", - Zero - }, - - Package (0x02) - { - "DSTATE", - 0x03 - } - }, - - Package (0x05) - { - "DEVICE", - "\\_SB.ADSP", - Package (0x05) - { - "COMPONENT", - Zero, - Package (0x02) - { - "FSTATE", - Zero - }, - - Package (0x02) - { - "PSTATE", - Zero - }, - - Package (0x02) - { - "PSTATE", - One - } - }, - - Package (0x02) - { - "DSTATE", - Zero - }, - - Package (0x02) - { - "DSTATE", - 0x03 - } - }, - - Package (0x05) - { - "DEVICE", - "\\_SB.SCSS", - Package (0x06) - { - "COMPONENT", - Zero, - Package (0x02) - { - "FSTATE", - Zero - }, - - Package (0x06) - { - "PSTATE", - Zero, - Package (0x02) - { - "TLMMGPIO", - Package (0x06) - { - 0x0E, - One, - Zero, - One, - Zero, - Zero - } - }, - - Package (0x02) - { - "PMICVREGVOTE", - Package (0x06) - { - "PPP_RESOURCE_ID_LDO27_A", - One, - Zero, - One, - One, - Zero - } - }, - - Package (0x02) - { - "PMICVREGVOTE", - Package (0x06) - { - "PPP_RESOURCE_ID_LDO4_A", - One, - Zero, - One, - One, - Zero - } - }, - - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_APPSS_PROC", - "ICBID_SLAVE_CLK_CTL", - One, - One - } - } - }, - - Package (0x05) - { - "PSTATE", - One, - Package (0x02) - { - "PMICVREGVOTE", - Package (0x06) - { - "PPP_RESOURCE_ID_LDO27_A", - One, - Zero, - One, - Zero, - Zero - } - }, - - Package (0x02) - { - "PMICVREGVOTE", - Package (0x06) - { - "PPP_RESOURCE_ID_LDO4_A", - One, - Zero, - One, - Zero, - Zero - } - }, - - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_APPSS_PROC", - "ICBID_SLAVE_CLK_CTL", - Zero, - Zero - } - } - }, - - Package (0x05) - { - "PSTATE", - 0x02, - Package (0x02) - { - "PMICVREGVOTE", - Package (0x06) - { - "PPP_RESOURCE_ID_LDO27_A", - One, - Zero, - Zero, - Zero, - Zero - } - }, - - Package (0x02) - { - "PMICVREGVOTE", - Package (0x06) - { - "PPP_RESOURCE_ID_LDO4_A", - One, - Zero, - Zero, - Zero, - Zero - } - }, - - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_APPSS_PROC", - "ICBID_SLAVE_CLK_CTL", - Zero, - Zero - } - } - } - }, - - Package (0x02) - { - "DSTATE", - Zero - }, - - Package (0x02) - { - "DSTATE", - 0x03 - } - }, - - Package (0x05) - { - "DEVICE", - "\\_SB.CDSP", - Package (0x05) - { - "COMPONENT", - Zero, - Package (0x02) - { - "FSTATE", - Zero - }, - - Package (0x02) - { - "PSTATE", - Zero - }, - - Package (0x02) - { - "PSTATE", - One - } - }, - - Package (0x02) - { - "DSTATE", - Zero - }, - - Package (0x02) - { - "DSTATE", - 0x03 - } - } - }) - } - - Scope (PEP0) - { - Method (PPMD, 0, NotSerialized) - { - Return (PPCC) /* \_SB_.PEP0.PPCC */ - } - - Name (PPCC, Package (0x03) - { - Package (0x03) - { - "DEVICE", - "\\_SB.PRXY", - Package (0x03) - { - "COMPONENT", - Zero, - Package (0x02) - { - "FSTATE", - Zero - } - } - }, - - Package (0x03) - { - "DEVICE", - "\\_SB.STAT", - Package (0x03) - { - "COMPONENT", - Zero, - Package (0x02) - { - "FSTATE", - Zero - } - } - }, - - Package (0x05) - { - "DEVICE", - 0x81, - "\\_SB.GIO0", - Package (0x03) - { - "COMPONENT", - Zero, - Package (0x02) - { - "FSTATE", - Zero - } - }, - - Package (0x03) - { - "COMPONENT", - One, - Package (0x02) - { - "FSTATE", - Zero - } - } - } - }) - Name (SDFR, Package (0x01) - { - Package (0x03) - { - "DEVICE", - "\\_SB.SDFR", - Package (0x06) - { - "COMPONENT", - Zero, - Package (0x02) - { - "FSTATE", - Zero - }, - - Package (0x02) - { - "FSTATE", - One - }, - - Package (0x02) - { - "FSTATE", - 0x02 - }, - - Package (0x02) - { - "FSTATE", - 0x03 - } - } - } - }) - } - - Scope (PEP0) - { - Method (BPMD, 0, NotSerialized) - { - Return (BPCC) /* \_SB_.PEP0.BPCC */ - } - - Method (LPMD, 0, NotSerialized) - { - Return (LPCC) /* \_SB_.PEP0.LPCC */ - } - - Name (BPCC, Package (0x04) - { - Package (0x05) - { - "DEVICE", - "\\_SB.UFS0", - Package (0x07) - { - "COMPONENT", - Zero, - Package (0x05) - { - "FSTATE", - Zero, - Package (0x02) - { - "PSTATE_ADJUST", - Package (0x02) - { - Zero, - Zero - } - }, - - Package (0x02) - { - "PSTATE_ADJUST", - Package (0x02) - { - One, - Zero - } - }, - - Package (0x02) - { - "PSTATE_ADJUST", - Package (0x02) - { - 0x02, - Zero - } - } - }, - - Package (0x05) - { - "FSTATE", - One, - Package (0x02) - { - "PSTATE_ADJUST", - Package (0x02) - { - 0x02, - One - } - }, - - Package (0x02) - { - "PSTATE_ADJUST", - Package (0x02) - { - One, - One - } - }, - - Package (0x02) - { - "PSTATE_ADJUST", - Package (0x02) - { - Zero, - One - } - } - }, - - Package (0x04) - { - "PSTATE_SET", - Zero, - Package (0x03) - { - "PSTATE", - Zero, - Package (0x02) - { - "FOOTSWITCH", - Package (0x02) - { - "ufs_phy_gdsc", - One - } - } - }, - - Package (0x03) - { - "PSTATE", - One, - Package (0x02) - { - "FOOTSWITCH", - Package (0x02) - { - "ufs_phy_gdsc", - 0x02 - } - } - } - }, - - Package (0x04) - { - "PSTATE_SET", - One, - Package (0x0C) - { - "PSTATE", - Zero, - Package (0x02) - { - "CLOCK", - Package (0x04) - { - "gcc_ufs_phy_axi_clk", - 0x08, - 0x0BEBC200, - 0x02 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x04) - { - "gcc_ufs_phy_unipro_core_clk", - 0x08, - 0x08F0D180, - 0x02 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x04) - { - "gcc_ufs_phy_ice_core_clk", - 0x08, - 0x11E1A300, - 0x02 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_aggre_ufs_phy_axi_clk", - One - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_ufs_phy_ahb_clk", - One - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_ufs_phy_phy_aux_clk", - One - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_ufs_phy_tx_symbol_0_clk", - One - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_ufs_phy_rx_symbol_0_clk", - One - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_ufs_phy_rx_symbol_1_clk", - One - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_ufs_mem_clkref_en", - One - } - } - }, - - Package (0x0C) - { - "PSTATE", - One, - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_aggre_ufs_phy_axi_clk", - 0x02 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_ufs_phy_ahb_clk", - 0x02 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_ufs_phy_phy_aux_clk", - 0x02 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_ufs_phy_tx_symbol_0_clk", - 0x02 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_ufs_phy_rx_symbol_0_clk", - 0x02 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_ufs_phy_rx_symbol_1_clk", - 0x02 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_ufs_phy_ice_core_clk", - 0x02 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_ufs_phy_unipro_core_clk", - 0x02 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_ufs_phy_axi_clk", - 0x02 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_ufs_mem_clkref_en", - 0x02 - } - } - } - }, - - Package (0x04) - { - "PSTATE_SET", - 0x02, - Package (0x04) - { - "PSTATE", - Zero, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_UFS_MEM", - "ICBID_SLAVE_EBI1", - 0x35A4E900, - 0x35A4E900 - } - }, - - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_APPSS_PROC", - "ICBID_SLAVE_UFS_MEM_CFG", - 0x11D260C0, - Zero - } - } - }, - - Package (0x04) - { - "PSTATE", - One, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_APPSS_PROC", - "ICBID_SLAVE_UFS_MEM_CFG", - Zero, - Zero - } - }, - - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_UFS_MEM", - "ICBID_SLAVE_EBI1", - Zero, - Zero - } - } - } - } - }, - - Package (0x0B) - { - "DSTATE", - Zero, - Package (0x02) - { - "PSTATE_ADJUST", - Package (0x02) - { - 0x02, - Zero - } - }, - - Package (0x02) - { - "PSTATE_ADJUST", - Package (0x02) - { - Zero, - Zero - } - }, - - Package (0x02) - { - "PMICVREGVOTE", - Package (0x06) - { - "PPP_RESOURCE_ID_LDO20_A", - One, - 0x002D2A80, - One, - 0x07, - Zero - } - }, - - Package (0x02) - { - "PMICVREGVOTE", - Package (0x06) - { - "PPP_RESOURCE_ID_LDO2_A", - One, - 0x00124F80, - One, - 0x07, - Zero - } - }, - - Package (0x02) - { - "PMICVREGVOTE", - Package (0x06) - { - "PPP_RESOURCE_ID_SMPS4_A", - 0x02, - 0x001B7740, - One, - Zero, - Zero - } - }, - - Package (0x02) - { - "PMICVREGVOTE", - Package (0x06) - { - "PPP_RESOURCE_ID_LDO26_A", - One, - 0x00124F80, - One, - 0x07, - Zero - } - }, - - Package (0x02) - { - "PMICVREGVOTE", - Package (0x06) - { - "PPP_RESOURCE_ID_LDO1_A", - One, - 0x000D6D80, - One, - 0x07, - Zero - } - }, - - Package (0x02) - { - "DELAY", - Package (0x01) - { - 0x23 - } - }, - - Package (0x02) - { - "PSTATE_ADJUST", - Package (0x02) - { - One, - Zero - } - } - }, - - Package (0x08) - { - "DSTATE", - 0x03, - Package (0x02) - { - "PSTATE_ADJUST", - Package (0x02) - { - One, - One - } - }, - - Package (0x02) - { - "PMICVREGVOTE", - Package (0x06) - { - "PPP_RESOURCE_ID_LDO1_A", - One, - Zero, - Zero, - Zero, - Zero - } - }, - - Package (0x02) - { - "PMICVREGVOTE", - Package (0x06) - { - "PPP_RESOURCE_ID_LDO26_A", - One, - Zero, - Zero, - Zero, - Zero - } - }, - - Package (0x02) - { - "PMICVREGVOTE", - Package (0x06) - { - "PPP_RESOURCE_ID_LDO20_A", - One, - Zero, - Zero, - Zero, - Zero - } - }, - - Package (0x02) - { - "PSTATE_ADJUST", - Package (0x02) - { - Zero, - One - } - }, - - Package (0x02) - { - "PSTATE_ADJUST", - Package (0x02) - { - 0x02, - One - } - } - } - }, - - Package (0x05) - { - "DEVICE", - "\\_SB.SDC2", - Package (0x09) - { - "COMPONENT", - Zero, - Package (0x02) - { - "FSTATE", - Zero - }, - - Package (0x02) - { - "FSTATE", - One - }, - - Package (0x19) - { - "PSTATE_SET", - Zero, - Package (0x03) - { - "PSTATE", - Zero, - Package (0x02) - { - "DELAY", - Package (0x01) - { - One - } - } - }, - - Package (0x03) - { - "PSTATE", - One, - Package (0x02) - { - "DELAY", - Package (0x01) - { - One - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x02, - Package (0x02) - { - "DELAY", - Package (0x01) - { - One - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x03, - Package (0x02) - { - "DELAY", - Package (0x01) - { - One - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x04, - Package (0x02) - { - "DELAY", - Package (0x01) - { - One - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x05, - Package (0x02) - { - "DELAY", - Package (0x01) - { - One - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x06, - Package (0x02) - { - "DELAY", - Package (0x01) - { - One - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x07, - Package (0x02) - { - "DELAY", - Package (0x01) - { - One - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x08, - Package (0x02) - { - "DELAY", - Package (0x01) - { - One - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x09, - Package (0x02) - { - "DELAY", - Package (0x01) - { - One - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x0B, - Package (0x02) - { - "DELAY", - Package (0x01) - { - One - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x0C, - Package (0x02) - { - "DELAY", - Package (0x01) - { - One - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x0D, - Package (0x02) - { - "DELAY", - Package (0x01) - { - One - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x0E, - Package (0x02) - { - "DELAY", - Package (0x01) - { - One - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x0F, - Package (0x02) - { - "DELAY", - Package (0x01) - { - One - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x10, - Package (0x02) - { - "DELAY", - Package (0x01) - { - One - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x11, - Package (0x02) - { - "DELAY", - Package (0x01) - { - One - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x12, - Package (0x02) - { - "DELAY", - Package (0x01) - { - One - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x13, - Package (0x02) - { - "DELAY", - Package (0x01) - { - One - } - } - }, - - Package (0x08) - { - "PSTATE", - 0x14, - Package (0x02) - { - "PMICVREGVOTE", - Package (0x06) - { - "PPP_RESOURCE_ID_LDO21_A", - One, - Zero, - Zero, - Zero, - Zero - } - }, - - Package (0x02) - { - "PMICVREGVOTE", - Package (0x06) - { - "PPP_RESOURCE_ID_LDO13_A", - One, - Zero, - Zero, - Zero, - Zero - } - }, - - Package (0x02) - { - "DELAY", - Package (0x01) - { - 0x23 - } - }, - - Package (0x02) - { - "PMICVREGVOTE", - Package (0x06) - { - "PPP_RESOURCE_ID_LDO21_A", - One, - 0x002D2A80, - One, - 0x07, - Zero - } - }, - - Package (0x02) - { - "PMICVREGVOTE", - Package (0x06) - { - "PPP_RESOURCE_ID_LDO13_A", - One, - 0x002D2A80, - One, - 0x07, - Zero - } - }, - - Package (0x02) - { - "DELAY", - Package (0x01) - { - 0x23 - } - } - }, - - Package (0x04) - { - "PSTATE", - 0x15, - Package (0x02) - { - "PMICVREGVOTE", - Package (0x06) - { - "PPP_RESOURCE_ID_LDO13_A", - One, - 0x001C3A90, - One, - 0x07, - Zero - } - }, - - Package (0x02) - { - "DELAY", - Package (0x01) - { - 0x23 - } - } - }, - - Package (0x05) - { - "PSTATE", - 0x16, - Package (0x02) - { - "PMICVREGVOTE", - Package (0x06) - { - "PPP_RESOURCE_ID_LDO21_A", - One, - 0x002D2A80, - One, - 0x07, - Zero - } - }, - - Package (0x02) - { - "PMICVREGVOTE", - Package (0x06) - { - "PPP_RESOURCE_ID_LDO13_A", - One, - 0x002D2A80, - One, - 0x07, - Zero - } - }, - - Package (0x02) - { - "DELAY", - Package (0x01) - { - 0x23 - } - } - }, - - Package (0x04) - { - "PSTATE", - 0x17, - Package (0x02) - { - "PMICVREGVOTE", - Package (0x06) - { - "PPP_RESOURCE_ID_LDO21_A", - One, - Zero, - Zero, - Zero, - Zero - } - }, - - Package (0x02) - { - "DELAY", - Package (0x01) - { - 0x23 - } - } - } - }, - - Package (0x06) - { - "PSTATE_SET", - One, - Package (0x03) - { - "PSTATE", - Zero, - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_sdcc2_apps_clk", - 0x02 - } - } - }, - - Package (0x03) - { - "PSTATE", - One, - Package (0x02) - { - "CLOCK", - Package (0x04) - { - "gcc_sdcc2_apps_clk", - 0x08, - 0x01312D00, - 0x02 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x02, - Package (0x02) - { - "CLOCK", - Package (0x04) - { - "gcc_sdcc2_apps_clk", - 0x08, - 0x05F5E100, - 0x02 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x03, - Package (0x02) - { - "CLOCK", - Package (0x04) - { - "gcc_sdcc2_apps_clk", - 0x08, - 0x0C02A560, - 0x02 - } - } - } - }, - - Package (0x06) - { - "PSTATE_SET", - 0x02, - Package (0x03) - { - "PSTATE", - Zero, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_SDCC_2", - "ICBID_SLAVE_EBI1", - 0x17D78400, - 0x0BEBC200 - } - } - }, - - Package (0x03) - { - "PSTATE", - One, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_SDCC_2", - "ICBID_SLAVE_EBI1", - 0x0BEBC200, - 0x05F5E100 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x02, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_SDCC_2", - "ICBID_SLAVE_EBI1", - 0x02625A00, - 0x01312D00 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x03, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_SDCC_2", - "ICBID_SLAVE_EBI1", - Zero, - Zero - } - } - } - }, - - Package (0x05) - { - "PSTATE_SET", - 0x03, - Package (0x04) - { - "PSTATE", - Zero, - Package (0x02) - { - "PSTATE_ADJUST", - Package (0x02) - { - One, - 0x03 - } - }, - - Package (0x02) - { - "PSTATE_ADJUST", - Package (0x02) - { - 0x02, - Zero - } - } - }, - - Package (0x04) - { - "PSTATE", - One, - Package (0x02) - { - "PSTATE_ADJUST", - Package (0x02) - { - One, - 0x02 - } - }, - - Package (0x02) - { - "PSTATE_ADJUST", - Package (0x02) - { - 0x02, - One - } - } - }, - - Package (0x04) - { - "PSTATE", - 0x02, - Package (0x02) - { - "PSTATE_ADJUST", - Package (0x02) - { - One, - One - } - }, - - Package (0x02) - { - "PSTATE_ADJUST", - Package (0x02) - { - 0x02, - 0x02 - } - } - } - }, - - Package (0x04) - { - "PSTATE_SET", - 0x04, - Package (0x03) - { - "PSTATE", - Zero, - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_sdcc2_ahb_clk", - One - } - } - }, - - Package (0x03) - { - "PSTATE", - One, - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_sdcc2_ahb_clk", - 0x02 - } - } - } - } - }, - - Package (0x07) - { - "DSTATE", - Zero, - Package (0x02) - { - "PSTATE_ADJUST", - Package (0x02) - { - Zero, - 0x16 - } - }, - - Package (0x02) - { - "TLMMPORT", - Package (0x03) - { - 0x0099A000, - 0x7FFF, - 0x1FE3 - } - }, - - Package (0x02) - { - "PSTATE_ADJUST", - Package (0x02) - { - 0x02, - Zero - } - }, - - Package (0x02) - { - "PSTATE_ADJUST", - Package (0x02) - { - 0x04, - Zero - } - }, - - Package (0x02) - { - "PSTATE_ADJUST", - Package (0x02) - { - One, - 0x03 - } - } - }, - - Package (0x07) - { - "DSTATE", - 0x03, - Package (0x02) - { - "PSTATE_ADJUST", - Package (0x02) - { - One, - Zero - } - }, - - Package (0x02) - { - "PSTATE_ADJUST", - Package (0x02) - { - 0x04, - One - } - }, - - Package (0x02) - { - "PSTATE_ADJUST", - Package (0x02) - { - 0x02, - 0x03 - } - }, - - Package (0x02) - { - "TLMMPORT", - Package (0x03) - { - 0x0099A000, - 0x7FFF, - 0x0A00 - } - }, - - Package (0x02) - { - "PSTATE_ADJUST", - Package (0x02) - { - Zero, - 0x17 - } - } - } - }, - - Package (0x07) - { - "DEVICE", - "\\_SB.ADSP.SLM1", - Package (0x03) - { - "COMPONENT", - Zero, - Package (0x02) - { - "FSTATE", - Zero - } - }, - - Package (0x02) - { - "DSTATE", - Zero - }, - - Package (0x02) - { - "DSTATE", - One - }, - - Package (0x02) - { - "DSTATE", - 0x02 - }, - - Package (0x02) - { - "DSTATE", - 0x03 - } - }, - - Package (0x07) - { - "DEVICE", - "\\_SB.ADSP.SLM2", - Package (0x03) - { - "COMPONENT", - Zero, - Package (0x02) - { - "FSTATE", - Zero - } - }, - - Package (0x02) - { - "DSTATE", - Zero - }, - - Package (0x02) - { - "DSTATE", - One - }, - - Package (0x02) - { - "DSTATE", - 0x02 - }, - - Package (0x02) - { - "DSTATE", - 0x03 - } - } - }) - Name (LPCC, Package (0x07) - { - Package (0x07) - { - "DEVICE", - "\\_SB.UCP0", - Package (0x05) - { - "COMPONENT", - Zero, - Package (0x02) - { - "FSTATE", - Zero - }, - - Package (0x05) - { - "PSTATE", - Zero, - Package (0x02) - { - "NPARESOURCE", - Package (0x03) - { - One, - "/arc/client/rail_cx", - 0x0100 - } - }, - - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_APPSS_PROC", - "ICBID_SLAVE_USB3_0", - 0x17D78400, - Zero - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_usb_phy_cfg_ahb2phy_clk", - One - } - } - }, - - Package (0x05) - { - "PSTATE", - One, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_APPSS_PROC", - "ICBID_SLAVE_USB3_0", - Zero, - Zero - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_usb_phy_cfg_ahb2phy_clk", - 0x02 - } - }, - - Package (0x02) - { - "NPARESOURCE", - Package (0x03) - { - One, - "/arc/client/rail_cx", - Zero - } - } - } - }, - - Package (0x02) - { - "DSTATE", - Zero - }, - - Package (0x02) - { - "DSTATE", - One - }, - - Package (0x02) - { - "DSTATE", - 0x02 - }, - - Package (0x02) - { - "DSTATE", - 0x03 - } - }, - - Package (0x07) - { - "DEVICE", - "\\_SB.PTCC", - Package (0x05) - { - "COMPONENT", - Zero, - Package (0x02) - { - "FSTATE", - Zero - }, - - Package (0x04) - { - "PSTATE", - Zero, - Package (0x02) - { - "PMICVREGVOTE", - Package (0x06) - { - "PPP_RESOURCE_ID_LDO24_A", - One, - 0x002EEBB8, - One, - One, - Zero - } - }, - - Package (0x02) - { - "PMICVREGVOTE", - Package (0x06) - { - "PPP_RESOURCE_ID_LDO12_A", - One, - 0x001B7740, - One, - One, - Zero - } - } - }, - - Package (0x04) - { - "PSTATE", - One, - Package (0x02) - { - "PMICVREGVOTE", - Package (0x06) - { - "PPP_RESOURCE_ID_LDO24_A", - One, - Zero, - Zero, - Zero, - Zero - } - }, - - Package (0x02) - { - "PMICVREGVOTE", - Package (0x06) - { - "PPP_RESOURCE_ID_LDO12_A", - One, - Zero, - Zero, - Zero, - Zero - } - } - } - }, - - Package (0x02) - { - "DSTATE", - Zero - }, - - Package (0x02) - { - "DSTATE", - One - }, - - Package (0x02) - { - "DSTATE", - 0x02 - }, - - Package (0x02) - { - "DSTATE", - 0x03 - } - }, - - Package (0x07) - { - "DEVICE", - "\\_SB.EMEC", - Package (0x05) - { - "COMPONENT", - Zero, - Package (0x02) - { - "FSTATE", - Zero - }, - - Package (0x06) - { - "PSTATE", - Zero, - Package (0x02) - { - "NPARESOURCE", - Package (0x03) - { - One, - "/arc/client/rail_cx", - 0x0100 - } - }, - - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_APPSS_PROC", - "ICBID_SLAVE_USB3_0", - 0x17D78400, - Zero - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_usb_phy_cfg_ahb2phy_clk", - One - } - }, - - Package (0x02) - { - "PMICVREGVOTE", - Package (0x06) - { - "PPP_RESOURCE_ID_LDO28_A", - One, - 0x00325AA0, - One, - 0x07, - Zero - } - } - }, - - Package (0x06) - { - "PSTATE", - One, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_APPSS_PROC", - "ICBID_SLAVE_USB3_0", - Zero, - Zero - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_usb_phy_cfg_ahb2phy_clk", - 0x02 - } - }, - - Package (0x02) - { - "NPARESOURCE", - Package (0x03) - { - One, - "/arc/client/rail_cx", - Zero - } - }, - - Package (0x02) - { - "PMICVREGVOTE", - Package (0x06) - { - "PPP_RESOURCE_ID_LDO28_A", - One, - 0x00325AA0, - One, - 0x07, - Zero - } - } - } - }, - - Package (0x02) - { - "DSTATE", - Zero - }, - - Package (0x02) - { - "DSTATE", - One - }, - - Package (0x02) - { - "DSTATE", - 0x02 - }, - - Package (0x02) - { - "DSTATE", - 0x03 - } - }, - - Package (0x07) - { - "DEVICE", - "\\_SB.URS0", - Package (0x05) - { - "COMPONENT", - Zero, - Package (0x02) - { - "FSTATE", - Zero - }, - - Package (0x02) - { - "PSTATE", - Zero - }, - - Package (0x02) - { - "PSTATE", - One - } - }, - - Package (0x02) - { - "DSTATE", - Zero - }, - - Package (0x02) - { - "DSTATE", - One - }, - - Package (0x02) - { - "DSTATE", - 0x02 - }, - - Package (0x02) - { - "DSTATE", - 0x03 - } - }, - - Package (0x08) - { - "DEVICE", - "\\_SB.URS0.USB0", - Package (0x05) - { - "COMPONENT", - Zero, - Package (0x02) - { - "FSTATE", - Zero - }, - - Package (0x07) - { - "PSTATE", - Zero, - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_usb30_prim_sleep_clk", - One - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x03) - { - "gcc_usb30_prim_sleep_clk", - 0x09, - 0x08 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x03) - { - "gcc_usb30_prim_sleep_clk", - 0x09, - 0x0C - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_usb3_prim_phy_pipe_clk", - One - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x03) - { - "gcc_usb3_prim_phy_pipe_clk", - 0x09, - 0x08 - } - } - }, - - Package (0x02) - { - "PRELOAD_PSTATE", - Zero - } - }, - - Package (0x11) - { - "DSTATE", - Zero, - Package (0x02) - { - "PMICVREGVOTE", - Package (0x06) - { - "PPP_RESOURCE_ID_LDO12_A", - One, - 0x001B7740, - One, - 0x07, - Zero - } - }, - - Package (0x02) - { - "PMICVREGVOTE", - Package (0x06) - { - "PPP_RESOURCE_ID_LDO24_A", - One, - 0x002EEBB8, - One, - 0x07, - Zero - } - }, - - Package (0x02) - { - "PMICVREGVOTE", - Package (0x06) - { - "PPP_RESOURCE_ID_LDO26_A", - One, - 0x00124F80, - One, - 0x07, - Zero - } - }, - - Package (0x02) - { - "PMICVREGVOTE", - Package (0x06) - { - "PPP_RESOURCE_ID_LDO1_A", - One, - 0x000D6D80, - One, - 0x07, - Zero - } - }, - - Package (0x02) - { - "FOOTSWITCH", - Package (0x02) - { - "usb30_prim_gdsc", - One - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x04) - { - "gcc_aggre_usb3_prim_axi_clk", - 0x08, - 0x78, - 0x09 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x04) - { - "gcc_cfg_noc_usb3_prim_axi_clk", - 0x08, - 0x78, - 0x09 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x04) - { - "gcc_usb30_prim_master_clk", - 0x08, - 0x78, - 0x09 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x04) - { - "gcc_usb30_prim_mock_utmi_clk", - 0x08, - 0x4B00, - 0x07 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x04) - { - "gcc_usb3_prim_phy_aux_clk", - 0x08, - 0x04B0, - 0x07 - } - }, - - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_USB3_0", - "ICBID_SLAVE_EBI1", - 0x28000000, - 0x28000000 - } - }, - - Package (0x02) - { - "NPARESOURCE", - Package (0x03) - { - One, - "/arc/client/rail_cx", - 0x0100 - } - }, - - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_APPSS_PROC", - "ICBID_SLAVE_USB3_0", - 0x17D78400, - Zero - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_usb_phy_cfg_ahb2phy_clk", - One - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_usb3_prim_clkref_en", - One - } - } - }, - - Package (0x11) - { - "DSTATE", - One, - Package (0x02) - { - "CLOCK", - Package (0x04) - { - "gcc_usb30_prim_master_clk", - 0x03, - 0x2580, - 0x05 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_usb30_prim_master_clk", - 0x02 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_cfg_noc_usb3_prim_axi_clk", - 0x02 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_aggre_usb3_prim_axi_clk", - 0x02 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_usb30_prim_mock_utmi_clk", - 0x02 - } - }, - - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_APPSS_PROC", - "ICBID_SLAVE_USB3_0", - Zero, - Zero - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_usb_phy_cfg_ahb2phy_clk", - 0x02 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_usb3_prim_phy_aux_clk", - 0x02 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_usb3_prim_clkref_en", - 0x02 - } - }, - - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_USB3_0", - "ICBID_SLAVE_EBI1", - Zero, - Zero - } - }, - - Package (0x02) - { - "NPARESOURCE", - Package (0x03) - { - One, - "/arc/client/rail_cx", - Zero - } - }, - - Package (0x02) - { - "PMICVREGVOTE", - Package (0x06) - { - "PPP_RESOURCE_ID_LDO12_A", - One, - 0x001B7740, - One, - 0x05, - Zero - } - }, - - Package (0x02) - { - "PMICVREGVOTE", - Package (0x06) - { - "PPP_RESOURCE_ID_LDO24_A", - One, - 0x002EEBB8, - One, - 0x05, - Zero - } - }, - - Package (0x02) - { - "PMICVREGVOTE", - Package (0x06) - { - "PPP_RESOURCE_ID_LDO26_A", - One, - 0x00124F80, - One, - 0x05, - Zero - } - }, - - Package (0x02) - { - "PMICVREGVOTE", - Package (0x06) - { - "PPP_RESOURCE_ID_LDO1_A", - One, - 0x000D6D80, - One, - 0x05, - Zero - } - } - }, - - Package (0x12) - { - "DSTATE", - 0x02, - Package (0x02) - { - "CLOCK", - Package (0x04) - { - "gcc_usb30_prim_master_clk", - 0x03, - 0x00927C00, - One - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_usb30_prim_master_clk", - 0x02 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_cfg_noc_usb3_prim_axi_clk", - 0x02 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_aggre_usb3_prim_axi_clk", - 0x02 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_usb30_prim_mock_utmi_clk", - 0x02 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_usb3_prim_phy_aux_clk", - 0x02 - } - }, - - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_APPSS_PROC", - "ICBID_SLAVE_USB3_0", - Zero, - Zero - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_usb_phy_cfg_ahb2phy_clk", - 0x02 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_usb3_prim_clkref_en", - 0x02 - } - }, - - Package (0x02) - { - "FOOTSWITCH", - Package (0x02) - { - "usb30_prim_gdsc", - One - } - }, - - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_USB3_0", - "ICBID_SLAVE_EBI1", - Zero, - Zero - } - }, - - Package (0x02) - { - "NPARESOURCE", - Package (0x03) - { - One, - "/arc/client/rail_cx", - Zero - } - }, - - Package (0x02) - { - "PMICVREGVOTE", - Package (0x06) - { - "PPP_RESOURCE_ID_LDO12_A", - One, - 0x001B7740, - One, - 0x05, - Zero - } - }, - - Package (0x02) - { - "PMICVREGVOTE", - Package (0x06) - { - "PPP_RESOURCE_ID_LDO24_A", - One, - 0x002EEBB8, - One, - 0x05, - Zero - } - }, - - Package (0x02) - { - "PMICVREGVOTE", - Package (0x06) - { - "PPP_RESOURCE_ID_LDO26_A", - One, - 0x00124F80, - One, - 0x05, - Zero - } - }, - - Package (0x02) - { - "PMICVREGVOTE", - Package (0x06) - { - "PPP_RESOURCE_ID_LDO1_A", - One, - 0x000D6D80, - One, - 0x05, - Zero - } - } - }, - - Package (0x12) - { - "DSTATE", - 0x03, - Package (0x02) - { - "CLOCK", - Package (0x04) - { - "gcc_usb30_prim_master_clk", - 0x03, - 0x00927C00, - One - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_usb30_prim_master_clk", - 0x02 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_cfg_noc_usb3_prim_axi_clk", - 0x02 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_aggre_usb3_prim_axi_clk", - 0x02 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_usb30_prim_mock_utmi_clk", - 0x02 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_usb3_prim_phy_aux_clk", - 0x02 - } - }, - - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_APPSS_PROC", - "ICBID_SLAVE_USB3_0", - Zero, - Zero - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_usb_phy_cfg_ahb2phy_clk", - 0x02 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_usb3_prim_clkref_en", - 0x02 - } - }, - - Package (0x02) - { - "FOOTSWITCH", - Package (0x02) - { - "usb30_prim_gdsc", - 0x02 - } - }, - - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_USB3_0", - "ICBID_SLAVE_EBI1", - Zero, - Zero - } - }, - - Package (0x02) - { - "NPARESOURCE", - Package (0x03) - { - One, - "/arc/client/rail_cx", - Zero - } - }, - - Package (0x02) - { - "PMICVREGVOTE", - Package (0x06) - { - "PPP_RESOURCE_ID_LDO24_A", - One, - Zero, - Zero, - 0x05, - Zero - } - }, - - Package (0x02) - { - "PMICVREGVOTE", - Package (0x06) - { - "PPP_RESOURCE_ID_LDO12_A", - One, - Zero, - Zero, - 0x05, - Zero - } - }, - - Package (0x02) - { - "PMICVREGVOTE", - Package (0x06) - { - "PPP_RESOURCE_ID_LDO26_A", - One, - Zero, - Zero, - 0x05, - Zero - } - }, - - Package (0x02) - { - "PMICVREGVOTE", - Package (0x06) - { - "PPP_RESOURCE_ID_LDO1_A", - One, - Zero, - Zero, - 0x05, - Zero - } - } - }, - - Package (0x02) - { - "ABANDON_DSTATE", - 0x03 - } - }, - - Package (0x08) - { - "DEVICE", - "\\_SB.URS0.UFN0", - Package (0x05) - { - "COMPONENT", - Zero, - Package (0x02) - { - "FSTATE", - Zero - }, - - Package (0x07) - { - "PSTATE", - Zero, - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_usb30_prim_sleep_clk", - One - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x03) - { - "gcc_usb30_prim_sleep_clk", - 0x09, - 0x08 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x03) - { - "gcc_usb30_prim_sleep_clk", - 0x09, - 0x0C - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_usb3_prim_phy_pipe_clk", - One - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x03) - { - "gcc_usb3_prim_phy_pipe_clk", - 0x09, - 0x08 - } - } - }, - - Package (0x02) - { - "PRELOAD_PSTATE", - Zero - } - }, - - Package (0x10) - { - "DSTATE", - Zero, - Package (0x02) - { - "PMICVREGVOTE", - Package (0x06) - { - "PPP_RESOURCE_ID_LDO12_A", - One, - 0x001B7740, - One, - 0x07, - Zero - } - }, - - Package (0x02) - { - "PMICVREGVOTE", - Package (0x06) - { - "PPP_RESOURCE_ID_LDO24_A", - One, - 0x002EEBB8, - One, - 0x07, - Zero - } - }, - - Package (0x02) - { - "PMICVREGVOTE", - Package (0x06) - { - "PPP_RESOURCE_ID_LDO26_A", - One, - 0x00124F80, - One, - 0x07, - Zero - } - }, - - Package (0x02) - { - "PMICVREGVOTE", - Package (0x06) - { - "PPP_RESOURCE_ID_LDO1_A", - One, - 0x000D6D80, - One, - 0x07, - Zero - } - }, - - Package (0x02) - { - "FOOTSWITCH", - Package (0x02) - { - "usb30_prim_gdsc", - One - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x04) - { - "gcc_aggre_usb3_prim_axi_clk", - 0x08, - 0x78, - 0x09 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x04) - { - "gcc_cfg_noc_usb3_prim_axi_clk", - 0x08, - 0x78, - 0x09 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x04) - { - "gcc_usb30_prim_master_clk", - 0x08, - 0x78, - 0x09 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x04) - { - "gcc_usb3_prim_phy_aux_clk", - 0x08, - 0x04B0, - 0x07 - } - }, - - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_APPSS_PROC", - "ICBID_SLAVE_USB3_0", - 0x17D78400, - Zero - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_usb_phy_cfg_ahb2phy_clk", - One - } - }, - - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_USB3_0", - "ICBID_SLAVE_EBI1", - 0x28000000, - 0x28000000 - } - }, - - Package (0x02) - { - "NPARESOURCE", - Package (0x03) - { - One, - "/arc/client/rail_cx", - 0x0100 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_usb3_prim_clkref_en", - One - } - } - }, - - Package (0x02) - { - "DSTATE", - One - }, - - Package (0x10) - { - "DSTATE", - 0x02, - Package (0x02) - { - "CLOCK", - Package (0x04) - { - "gcc_usb30_prim_master_clk", - 0x03, - 0x2580, - 0x05 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_usb30_prim_master_clk", - 0x02 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_cfg_noc_usb3_prim_axi_clk", - 0x02 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_aggre_usb3_prim_axi_clk", - 0x02 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_usb3_prim_phy_aux_clk", - 0x02 - } - }, - - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_APPSS_PROC", - "ICBID_SLAVE_USB3_0", - Zero, - Zero - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_usb_phy_cfg_ahb2phy_clk", - 0x02 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_usb3_prim_clkref_en", - 0x02 - } - }, - - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_USB3_0", - "ICBID_SLAVE_EBI1", - Zero, - Zero - } - }, - - Package (0x02) - { - "NPARESOURCE", - Package (0x03) - { - One, - "/arc/client/rail_cx", - 0x0100 - } - }, - - Package (0x02) - { - "PMICVREGVOTE", - Package (0x06) - { - "PPP_RESOURCE_ID_LDO12_A", - One, - 0x001B7740, - One, - 0x05, - Zero - } - }, - - Package (0x02) - { - "PMICVREGVOTE", - Package (0x06) - { - "PPP_RESOURCE_ID_LDO24_A", - One, - 0x002EEBB8, - One, - 0x05, - Zero - } - }, - - Package (0x02) - { - "PMICVREGVOTE", - Package (0x06) - { - "PPP_RESOURCE_ID_LDO26_A", - One, - Zero, - Zero, - 0x05, - Zero - } - }, - - Package (0x02) - { - "PMICVREGVOTE", - Package (0x06) - { - "PPP_RESOURCE_ID_LDO1_A", - One, - Zero, - Zero, - 0x05, - Zero - } - } - }, - - Package (0x11) - { - "DSTATE", - 0x03, - Package (0x02) - { - "CLOCK", - Package (0x04) - { - "gcc_usb30_prim_master_clk", - 0x03, - 0x00927C00, - One - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_usb30_prim_master_clk", - 0x02 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_cfg_noc_usb3_prim_axi_clk", - 0x02 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_aggre_usb3_prim_axi_clk", - 0x02 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_usb3_prim_phy_aux_clk", - 0x02 - } - }, - - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_APPSS_PROC", - "ICBID_SLAVE_USB3_0", - Zero, - Zero - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_usb_phy_cfg_ahb2phy_clk", - 0x02 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_usb3_prim_clkref_en", - 0x02 - } - }, - - Package (0x02) - { - "FOOTSWITCH", - Package (0x02) - { - "usb30_prim_gdsc", - 0x02 - } - }, - - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_USB3_0", - "ICBID_SLAVE_EBI1", - Zero, - Zero - } - }, - - Package (0x02) - { - "NPARESOURCE", - Package (0x03) - { - One, - "/arc/client/rail_cx", - Zero - } - }, - - Package (0x02) - { - "PMICVREGVOTE", - Package (0x06) - { - "PPP_RESOURCE_ID_LDO24_A", - One, - Zero, - Zero, - 0x05, - Zero - } - }, - - Package (0x02) - { - "PMICVREGVOTE", - Package (0x06) - { - "PPP_RESOURCE_ID_LDO12_A", - One, - Zero, - Zero, - 0x05, - Zero - } - }, - - Package (0x02) - { - "PMICVREGVOTE", - Package (0x06) - { - "PPP_RESOURCE_ID_LDO26_A", - One, - Zero, - Zero, - 0x05, - Zero - } - }, - - Package (0x02) - { - "PMICVREGVOTE", - Package (0x06) - { - "PPP_RESOURCE_ID_LDO1_A", - One, - Zero, - Zero, - 0x05, - Zero - } - } - }, - - Package (0x02) - { - "ABANDON_DSTATE", - 0x03 - } - }, - - Package (0x08) - { - "DEVICE", - "\\_SB.USB1", - Package (0x05) - { - "COMPONENT", - Zero, - Package (0x02) - { - "FSTATE", - Zero - }, - - Package (0x07) - { - "PSTATE", - Zero, - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_usb30_sec_sleep_clk", - One - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x03) - { - "gcc_usb30_sec_sleep_clk", - 0x09, - 0x08 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x03) - { - "gcc_usb30_sec_sleep_clk", - 0x09, - 0x0C - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_usb3_sec_phy_pipe_clk", - One - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x03) - { - "gcc_usb3_sec_phy_pipe_clk", - 0x09, - 0x08 - } - } - }, - - Package (0x02) - { - "PRELOAD_PSTATE", - Zero - } - }, - - Package (0x11) - { - "DSTATE", - Zero, - Package (0x02) - { - "PMICVREGVOTE", - Package (0x06) - { - "PPP_RESOURCE_ID_LDO12_A", - One, - 0x001B7740, - One, - 0x07, - Zero - } - }, - - Package (0x02) - { - "PMICVREGVOTE", - Package (0x06) - { - "PPP_RESOURCE_ID_LDO24_A", - One, - 0x002EEBB8, - One, - 0x07, - Zero - } - }, - - Package (0x02) - { - "PMICVREGVOTE", - Package (0x06) - { - "PPP_RESOURCE_ID_LDO26_A", - One, - 0x00124F80, - One, - 0x07, - Zero - } - }, - - Package (0x02) - { - "PMICVREGVOTE", - Package (0x06) - { - "PPP_RESOURCE_ID_LDO1_A", - One, - 0x000D6D80, - One, - 0x07, - Zero - } - }, - - Package (0x02) - { - "FOOTSWITCH", - Package (0x02) - { - "usb30_sec_gdsc", - One - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x04) - { - "gcc_aggre_usb3_sec_axi_clk", - 0x08, - 0x78, - 0x09 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x04) - { - "gcc_cfg_noc_usb3_sec_axi_clk", - 0x08, - 0x78, - 0x09 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x04) - { - "gcc_usb30_sec_master_clk", - 0x08, - 0x78, - 0x09 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x04) - { - "gcc_usb30_sec_mock_utmi_clk", - 0x08, - 0x4B00, - 0x07 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x04) - { - "gcc_usb3_sec_phy_aux_clk", - 0x08, - 0x04B0, - 0x07 - } - }, - - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_USB3_1", - "ICBID_SLAVE_EBI1", - 0x28000000, - 0x28000000 - } - }, - - Package (0x02) - { - "NPARESOURCE", - Package (0x03) - { - One, - "/arc/client/rail_cx", - 0x0100 - } - }, - - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_APPSS_PROC", - "ICBID_SLAVE_USB3_1", - 0x17D78400, - Zero - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_usb_phy_cfg_ahb2phy_clk", - One - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_usb3_sec_clkref_en", - One - } - } - }, - - Package (0x11) - { - "DSTATE", - One, - Package (0x02) - { - "CLOCK", - Package (0x04) - { - "gcc_usb30_sec_master_clk", - 0x03, - 0x2580, - 0x05 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_usb30_sec_master_clk", - 0x02 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_cfg_noc_usb3_sec_axi_clk", - 0x02 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_aggre_usb3_sec_axi_clk", - 0x02 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_usb30_sec_mock_utmi_clk", - 0x02 - } - }, - - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_APPSS_PROC", - "ICBID_SLAVE_USB3_1", - Zero, - Zero - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_usb_phy_cfg_ahb2phy_clk", - 0x02 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_usb3_sec_phy_aux_clk", - 0x02 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_usb3_sec_clkref_en", - 0x02 - } - }, - - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_USB3_1", - "ICBID_SLAVE_EBI1", - Zero, - Zero - } - }, - - Package (0x02) - { - "NPARESOURCE", - Package (0x03) - { - One, - "/arc/client/rail_cx", - Zero - } - }, - - Package (0x02) - { - "PMICVREGVOTE", - Package (0x06) - { - "PPP_RESOURCE_ID_LDO12_A", - One, - 0x001B7740, - One, - 0x05, - Zero - } - }, - - Package (0x02) - { - "PMICVREGVOTE", - Package (0x06) - { - "PPP_RESOURCE_ID_LDO24_A", - One, - 0x002EEBB8, - One, - 0x05, - Zero - } - }, - - Package (0x02) - { - "PMICVREGVOTE", - Package (0x06) - { - "PPP_RESOURCE_ID_LDO26_A", - One, - 0x00124F80, - One, - 0x05, - Zero - } - }, - - Package (0x02) - { - "PMICVREGVOTE", - Package (0x06) - { - "PPP_RESOURCE_ID_LDO1_A", - One, - 0x000D6D80, - One, - 0x05, - Zero - } - } - }, - - Package (0x12) - { - "DSTATE", - 0x02, - Package (0x02) - { - "CLOCK", - Package (0x04) - { - "gcc_usb30_sec_master_clk", - 0x03, - 0x00927C00, - One - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_usb30_sec_master_clk", - 0x02 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_cfg_noc_usb3_sec_axi_clk", - 0x02 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_aggre_usb3_sec_axi_clk", - 0x02 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_usb30_sec_mock_utmi_clk", - 0x02 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_usb3_sec_phy_aux_clk", - 0x02 - } - }, - - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_APPSS_PROC", - "ICBID_SLAVE_USB3_1", - Zero, - Zero - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_usb_phy_cfg_ahb2phy_clk", - 0x02 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_usb3_sec_clkref_en", - 0x02 - } - }, - - Package (0x02) - { - "FOOTSWITCH", - Package (0x02) - { - "usb30_sec_gdsc", - One - } - }, - - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_USB3_1", - "ICBID_SLAVE_EBI1", - Zero, - Zero - } - }, - - Package (0x02) - { - "NPARESOURCE", - Package (0x03) - { - One, - "/arc/client/rail_cx", - Zero - } - }, - - Package (0x02) - { - "PMICVREGVOTE", - Package (0x06) - { - "PPP_RESOURCE_ID_LDO12_A", - One, - 0x001B7740, - One, - 0x05, - Zero - } - }, - - Package (0x02) - { - "PMICVREGVOTE", - Package (0x06) - { - "PPP_RESOURCE_ID_LDO24_A", - One, - 0x002EEBB8, - One, - 0x05, - Zero - } - }, - - Package (0x02) - { - "PMICVREGVOTE", - Package (0x06) - { - "PPP_RESOURCE_ID_LDO26_A", - One, - 0x00124F80, - One, - 0x05, - Zero - } - }, - - Package (0x02) - { - "PMICVREGVOTE", - Package (0x06) - { - "PPP_RESOURCE_ID_LDO1_A", - One, - 0x000D6D80, - One, - 0x05, - Zero - } - } - }, - - Package (0x12) - { - "DSTATE", - 0x03, - Package (0x02) - { - "CLOCK", - Package (0x04) - { - "gcc_usb30_sec_master_clk", - 0x03, - 0x00927C00, - One - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_usb30_sec_master_clk", - 0x02 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_cfg_noc_usb3_sec_axi_clk", - 0x02 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_aggre_usb3_sec_axi_clk", - 0x02 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_usb30_sec_mock_utmi_clk", - 0x02 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_usb3_sec_phy_aux_clk", - 0x02 - } - }, - - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_APPSS_PROC", - "ICBID_SLAVE_USB3_1", - Zero, - Zero - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_usb_phy_cfg_ahb2phy_clk", - 0x02 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_usb3_sec_clkref_en", - 0x02 - } - }, - - Package (0x02) - { - "FOOTSWITCH", - Package (0x02) - { - "usb30_sec_gdsc", - 0x02 - } - }, - - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_USB3_1", - "ICBID_SLAVE_EBI1", - Zero, - Zero - } - }, - - Package (0x02) - { - "NPARESOURCE", - Package (0x03) - { - One, - "/arc/client/rail_cx", - Zero - } - }, - - Package (0x02) - { - "PMICVREGVOTE", - Package (0x06) - { - "PPP_RESOURCE_ID_LDO24_A", - One, - Zero, - Zero, - 0x05, - Zero - } - }, - - Package (0x02) - { - "PMICVREGVOTE", - Package (0x06) - { - "PPP_RESOURCE_ID_LDO12_A", - One, - Zero, - Zero, - 0x05, - Zero - } - }, - - Package (0x02) - { - "PMICVREGVOTE", - Package (0x06) - { - "PPP_RESOURCE_ID_LDO26_A", - One, - Zero, - Zero, - 0x05, - Zero - } - }, - - Package (0x02) - { - "PMICVREGVOTE", - Package (0x06) - { - "PPP_RESOURCE_ID_LDO1_A", - One, - Zero, - Zero, - 0x05, - Zero - } - } - }, - - Package (0x02) - { - "ABANDON_DSTATE", - 0x03 - } - } - }) - } - - Scope (PEP0) - { - Method (IPMD, 0, NotSerialized) - { - Return (IPSC) /* \_SB_.PEP0.IPSC */ - } - - Name (IPSC, Package (0x01) - { - Package (0x03) - { - "DEVICE", - "\\_SB.IPA", - Package (0x04) - { - "COMPONENT", - Zero, - Package (0x03) - { - "FSTATE", - Zero, - Package (0x02) - { - "BUSARB", - Package (0x06) - { - 0x03, - "ICBID_MASTER_IPA_CORE", - "ICBID_SLAVE_IPA_CORE", - 0x9218, - Zero, - "HLOS_DRV" - } - } - }, - - Package (0x03) - { - "FSTATE", - One, - Package (0x02) - { - "BUSARB", - Package (0x06) - { - 0x03, - "ICBID_MASTER_IPA_CORE", - "ICBID_SLAVE_IPA_CORE", - Zero, - Zero, - "HLOS_DRV" - } - } - } - } - } - }) - } - - - - Include ("wcnss_resources.asl") - - Scope (PEP0) - { - Method (QDMD, 0, NotSerialized) - { - Return (QDSC) /* \_SB_.PEP0.QDSC */ - } - - Name (QDSC, Package (0x01) - { - Package (0x03) - { - "DEVICE", - "\\_SB.QDSS", - Package (0x08) - { - "COMPONENT", - Zero, - Package (0x02) - { - "FSTATE", - Zero - }, - - Package (0x03) - { - "FSTATE", - One, - Package (0x02) - { - "PSTATE_ADJUST", - Package (0x02) - { - Zero, - Zero - } - } - }, - - Package (0x06) - { - "PSTATE_SET", - Zero, - Package (0x03) - { - "PSTATE", - Zero, - Package (0x02) - { - "NPARESOURCE", - Package (0x03) - { - One, - "/clk/qdss", - Zero - } - } - }, - - Package (0x03) - { - "PSTATE", - One, - Package (0x02) - { - "NPARESOURCE", - Package (0x03) - { - One, - "/clk/qdss", - One - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x02, - Package (0x02) - { - "NPARESOURCE", - Package (0x03) - { - One, - "/clk/qdss", - 0x02 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x03, - Package (0x02) - { - "NPARESOURCE", - Package (0x03) - { - One, - "/clk/qdss", - 0x03 - } - } - } - }, - - Package (0x06) - { - "PSTATE_SET", - One, - Package (0x02) - { - "PSTATE", - Zero - }, - - Package (0x02) - { - "PSTATE", - One - }, - - Package (0x02) - { - "PSTATE", - 0x02 - }, - - Package (0x02) - { - "PSTATE", - 0x03 - } - }, - - Package (0x04) - { - "PSTATE_SET", - 0x02, - Package (0x03) - { - "PSTATE", - Zero, - Package (0x02) - { - "NPARESOURCE", - Package (0x03) - { - One, - "/clk/qdss", - One - } - } - }, - - Package (0x03) - { - "PSTATE", - One, - Package (0x02) - { - "NPARESOURCE", - Package (0x03) - { - One, - "/clk/qdss", - Zero - } - } - } - }, - - Package (0x03) - { - "PSTATE_SET", - 0x03, - Package (0x03) - { - "PSTATE", - Zero, - Package (0x02) - { - "TLMMPORT", - Package (0x03) - { - 0x00033000, - 0x07FF, - 0x01C8 - } - } - } - } - } - } - }) - } - - Scope (PEP0) - { - Method (PEMD, 0, NotSerialized) - { - Return (PEMC) /* \_SB_.PEP0.PEMC */ - } - - Name (PEMC, Package (0x04) - { - Package (0x07) - { - "DEVICE", - "\\_SB.PCI0", - Package (0x04) - { - "COMPONENT", - Zero, - Package (0x02) - { - "FSTATE", - Zero - }, - - Package (0x02) - { - "FSTATE", - One - } - }, - - Package (0x10) - { - "DSTATE", - Zero, - Package (0x02) - { - "PMICVREGVOTE", - Package (0x06) - { - "PPP_RESOURCE_ID_LDO26_A", - One, - 0x00124F80, - One, - One, - Zero - } - }, - - Package (0x02) - { - "PMICVREGVOTE", - Package (0x06) - { - "PPP_RESOURCE_ID_LDO1_A", - One, - 0x000D6D80, - One, - One, - Zero - } - }, - - Package (0x02) - { - "FOOTSWITCH", - Package (0x02) - { - "pcie_0_gdsc", - One - } - }, - - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_APPSS_PROC", - "ICBID_SLAVE_PCIE_0_CFG", - 0x047868C0, - Zero - } - }, - - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_PCIE_0", - "ICBID_SLAVE_EBI1", - 0x17D78400, - 0x0BEBC200 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_aggre_noc_pcie_tbu_clk", - One - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_pcie_phy_refgen_clk", - One - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_pcie_phy_aux_clk", - One - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_pcie_0_pipe_clk", - One - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_pcie_0_slv_axi_clk", - One - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_pcie_0_slv_q2a_axi_clk", - One - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_pcie_0_mstr_axi_clk", - One - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_pcie_0_cfg_ahb_clk", - One - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x04) - { - "gcc_pcie_0_aux_clk", - 0x08, - 0x0124F800, - 0x03 - } - } - }, - - Package (0x02) - { - "DSTATE", - One - }, - - Package (0x02) - { - "DSTATE", - 0x02 - }, - - Package (0x10) - { - "DSTATE", - 0x03, - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_pcie_0_pipe_clk", - 0x02 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_pcie_0_aux_clk", - 0x02 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_pcie_0_slv_axi_clk", - 0x02 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_pcie_0_slv_q2a_axi_clk", - 0x02 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_pcie_0_mstr_axi_clk", - 0x02 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_pcie_0_cfg_ahb_clk", - 0x02 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_aggre_noc_pcie_tbu_clk", - 0x02 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_pcie_phy_refgen_clk", - 0x02 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_pcie_phy_aux_clk", - 0x02 - } - }, - - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_APPSS_PROC", - "ICBID_SLAVE_PCIE_0_CFG", - Zero, - Zero - } - }, - - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_PCIE_0", - "ICBID_SLAVE_EBI1", - Zero, - Zero - } - }, - - Package (0x02) - { - "FOOTSWITCH", - Package (0x02) - { - "pcie_0_gdsc", - 0x02 - } - }, - - Package (0x02) - { - "PMICVREGVOTE", - Package (0x06) - { - "PPP_RESOURCE_ID_LDO26_A", - One, - Zero, - Zero, - Zero, - Zero - } - }, - - Package (0x02) - { - "PMICVREGVOTE", - Package (0x06) - { - "PPP_RESOURCE_ID_LDO1_A", - One, - Zero, - Zero, - Zero, - Zero - } - } - } - }, - - Package (0x07) - { - "DEVICE", - "\\_SB.PCI0.RP1", - Package (0x04) - { - "COMPONENT", - Zero, - Package (0x02) - { - "FSTATE", - Zero - }, - - Package (0x02) - { - "FSTATE", - One - } - }, - - Package (0x02) - { - "DSTATE", - Zero - }, - - Package (0x02) - { - "DSTATE", - One - }, - - Package (0x02) - { - "DSTATE", - 0x02 - }, - - Package (0x02) - { - "DSTATE", - 0x03 - } - }, - - Package (0x07) - { - "DEVICE", - "\\_SB.PCI1", - Package (0x04) - { - "COMPONENT", - Zero, - Package (0x02) - { - "FSTATE", - Zero - }, - - Package (0x02) - { - "FSTATE", - One - } - }, - - Package (0x10) - { - "DSTATE", - Zero, - Package (0x02) - { - "PMICVREGVOTE", - Package (0x06) - { - "PPP_RESOURCE_ID_LDO26_A", - One, - 0x00124F80, - One, - One, - Zero - } - }, - - Package (0x02) - { - "PMICVREGVOTE", - Package (0x06) - { - "PPP_RESOURCE_ID_LDO1_A", - One, - 0x000D6D80, - One, - One, - Zero - } - }, - - Package (0x02) - { - "FOOTSWITCH", - Package (0x02) - { - "pcie_1_gdsc", - One - } - }, - - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_APPSS_PROC", - "ICBID_SLAVE_PCIE_1_CFG", - 0x047868C0, - Zero - } - }, - - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_PCIE_1", - "ICBID_SLAVE_EBI1", - 0x17D78400, - 0x0BEBC200 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_aggre_noc_pcie_tbu_clk", - One - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_pcie_phy_refgen_clk", - One - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_pcie_phy_aux_clk", - One - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_pcie_1_pipe_clk", - One - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_pcie_1_slv_axi_clk", - One - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_pcie_1_slv_q2a_axi_clk", - One - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_pcie_1_mstr_axi_clk", - One - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_pcie_1_cfg_ahb_clk", - One - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x04) - { - "gcc_pcie_1_aux_clk", - 0x08, - 0x0124F800, - 0x03 - } - } - }, - - Package (0x02) - { - "DSTATE", - One - }, - - Package (0x02) - { - "DSTATE", - 0x02 - }, - - Package (0x10) - { - "DSTATE", - 0x03, - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_pcie_1_pipe_clk", - 0x02 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_pcie_1_aux_clk", - 0x02 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_pcie_1_slv_axi_clk", - 0x02 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_pcie_1_slv_q2a_axi_clk", - 0x02 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_pcie_1_mstr_axi_clk", - 0x02 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_pcie_1_cfg_ahb_clk", - 0x02 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_aggre_noc_pcie_tbu_clk", - 0x02 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_pcie_phy_refgen_clk", - 0x02 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_pcie_phy_aux_clk", - 0x02 - } - }, - - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_APPSS_PROC", - "ICBID_SLAVE_PCIE_1_CFG", - Zero, - Zero - } - }, - - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_PCIE_1", - "ICBID_SLAVE_EBI1", - Zero, - Zero - } - }, - - Package (0x02) - { - "FOOTSWITCH", - Package (0x02) - { - "pcie_1_gdsc", - 0x02 - } - }, - - Package (0x02) - { - "PMICVREGVOTE", - Package (0x06) - { - "PPP_RESOURCE_ID_LDO26_A", - One, - Zero, - Zero, - Zero, - Zero - } - }, - - Package (0x02) - { - "PMICVREGVOTE", - Package (0x06) - { - "PPP_RESOURCE_ID_LDO1_A", - One, - Zero, - Zero, - Zero, - Zero - } - } - } - }, - - Package (0x07) - { - "DEVICE", - "\\_SB.PCI1.RP1", - Package (0x04) - { - "COMPONENT", - Zero, - Package (0x02) - { - "FSTATE", - Zero - }, - - Package (0x02) - { - "FSTATE", - One - } - }, - - Package (0x02) - { - "DSTATE", - Zero - }, - - Package (0x02) - { - "DSTATE", - One - }, - - Package (0x02) - { - "DSTATE", - 0x02 - }, - - Package (0x02) - { - "DSTATE", - 0x03 - } - } - }) - } - - Scope (PEP0) - { - Method (CPMX, 0, NotSerialized) - { - Return (CPXC) /* \_SB_.PEP0.CPXC */ - } - - Name (CPXC, Package (0x04) - { - Package (0x05) - { - "DEVICE", - "\\_SB.CAMP", - Package (0x07) - { - "COMPONENT", - Zero, - Package (0x0D) - { - "FSTATE", - Zero, - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_camera_xo_clk", - One - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_camera_ahb_clk", - One - } - }, - - Package (0x02) - { - "FOOTSWITCH", - Package (0x02) - { - "titan_top_gdsc", - One - } - }, - - Package (0x02) - { - "PSTATE_ADJUST", - Package (0x02) - { - One, - 0x23 - } - }, - - Package (0x02) - { - "PSTATE_ADJUST", - Package (0x02) - { - 0x02, - 0x23 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_camera_axi_clk", - One - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "cam_cc_soc_ahb_clk", - One - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "cam_cc_cpas_ahb_clk", - One - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "cam_cc_camnoc_axi_clk", - One - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "cam_cc_cci_clk", - One - } - }, - - Package (0x02) - { - "PSTATE_ADJUST", - Package (0x02) - { - Zero, - Zero - } - } - }, - - Package (0x0C) - { - "FSTATE", - One, - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "cam_cc_cci_clk", - 0x02 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "cam_cc_camnoc_axi_clk", - 0x02 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "cam_cc_cpas_ahb_clk", - 0x02 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "cam_cc_soc_ahb_clk", - 0x02 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_camera_axi_clk", - 0x02 - } - }, - - Package (0x02) - { - "PSTATE_ADJUST", - Package (0x02) - { - 0x02, - 0x25 - } - }, - - Package (0x02) - { - "PSTATE_ADJUST", - Package (0x02) - { - One, - 0x25 - } - }, - - Package (0x02) - { - "FOOTSWITCH", - Package (0x02) - { - "titan_top_gdsc", - 0x02 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_camera_ahb_clk", - 0x02 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_camera_xo_clk", - 0x02 - } - } - }, - - Package (0x04) - { - "PSTATE_SET", - Zero, - Package (0x03) - { - "PSTATE", - Zero, - Package (0x02) - { - "CLOCK", - Package (0x04) - { - "cam_cc_cci_clk", - 0x03, - 0x023C3460, - 0x03 - } - } - }, - - Package (0x03) - { - "PSTATE", - One, - Package (0x02) - { - "CLOCK", - Package (0x04) - { - "cam_cc_cci_clk", - 0x03, - 0x0124F800, - 0x03 - } - } - } - }, - - Package (0x28) - { - "PSTATE_SET", - One, - Package (0x03) - { - "PSTATE", - Zero, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_CAMNOC_SF_UNCOMP", - "ICBID_SLAVE_CAMNOC_UNCOMP", - 0x00000002CB417800, - 0x00000002CB417800 - } - } - }, - - Package (0x03) - { - "PSTATE", - One, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_CAMNOC_SF_UNCOMP", - "ICBID_SLAVE_CAMNOC_UNCOMP", - 0x00000002AD741300, - 0x00000002AD741300 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x02, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_CAMNOC_SF_UNCOMP", - "ICBID_SLAVE_CAMNOC_UNCOMP", - 0x000000028FA6AE00, - 0x000000028FA6AE00 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x03, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_CAMNOC_SF_UNCOMP", - "ICBID_SLAVE_CAMNOC_UNCOMP", - 0x0000000271D94900, - 0x0000000271D94900 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x04, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_CAMNOC_SF_UNCOMP", - "ICBID_SLAVE_CAMNOC_UNCOMP", - 0x00000002540BE400, - 0x00000002540BE400 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x05, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_CAMNOC_SF_UNCOMP", - "ICBID_SLAVE_CAMNOC_UNCOMP", - 0x00000002363E7F00, - 0x00000002363E7F00 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x06, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_CAMNOC_SF_UNCOMP", - "ICBID_SLAVE_CAMNOC_UNCOMP", - 0x0000000218711A00, - 0x0000000218711A00 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x07, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_CAMNOC_SF_UNCOMP", - "ICBID_SLAVE_CAMNOC_UNCOMP", - 0x00000001FAA3B500, - 0x00000001FAA3B500 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x08, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_CAMNOC_SF_UNCOMP", - "ICBID_SLAVE_CAMNOC_UNCOMP", - 0x00000001DCD65000, - 0x00000001DCD65000 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x09, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_CAMNOC_SF_UNCOMP", - "ICBID_SLAVE_CAMNOC_UNCOMP", - 0x00000001BF08EB00, - 0x00000001BF08EB00 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x0A, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_CAMNOC_SF_UNCOMP", - "ICBID_SLAVE_CAMNOC_UNCOMP", - 0x00000001A13B8600, - 0x00000001A13B8600 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x0B, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_CAMNOC_SF_UNCOMP", - "ICBID_SLAVE_CAMNOC_UNCOMP", - 0x00000001836E2100, - 0x00000001836E2100 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x0C, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_CAMNOC_SF_UNCOMP", - "ICBID_SLAVE_CAMNOC_UNCOMP", - 0x0000000165A0BC00, - 0x0000000165A0BC00 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x0D, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_CAMNOC_SF_UNCOMP", - "ICBID_SLAVE_CAMNOC_UNCOMP", - 0x0000000147D35700, - 0x0000000147D35700 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x0E, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_CAMNOC_SF_UNCOMP", - "ICBID_SLAVE_CAMNOC_UNCOMP", - 0x000000012A05F200, - 0x000000012A05F200 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x0F, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_CAMNOC_SF_UNCOMP", - "ICBID_SLAVE_CAMNOC_UNCOMP", - 0x000000010C388D00, - 0x000000010C388D00 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x10, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_CAMNOC_SF_UNCOMP", - "ICBID_SLAVE_CAMNOC_UNCOMP", - 0xEE6B2800, - 0xEE6B2800 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x11, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_CAMNOC_SF_UNCOMP", - "ICBID_SLAVE_CAMNOC_UNCOMP", - 0xD09DC300, - 0xD09DC300 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x12, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_CAMNOC_SF_UNCOMP", - "ICBID_SLAVE_CAMNOC_UNCOMP", - 0xC4B20100, - 0xC4B20100 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x13, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_CAMNOC_SF_UNCOMP", - "ICBID_SLAVE_CAMNOC_UNCOMP", - 0xB8C63F00, - 0xB8C63F00 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x14, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_CAMNOC_SF_UNCOMP", - "ICBID_SLAVE_CAMNOC_UNCOMP", - 0xACDA7D00, - 0xACDA7D00 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x15, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_CAMNOC_SF_UNCOMP", - "ICBID_SLAVE_CAMNOC_UNCOMP", - 0xA0EEBB00, - 0xA0EEBB00 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x16, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_CAMNOC_SF_UNCOMP", - "ICBID_SLAVE_CAMNOC_UNCOMP", - 0x9502F900, - 0x9502F900 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x17, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_CAMNOC_SF_UNCOMP", - "ICBID_SLAVE_CAMNOC_UNCOMP", - 0x89173700, - 0x89173700 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x18, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_CAMNOC_SF_UNCOMP", - "ICBID_SLAVE_CAMNOC_UNCOMP", - 0x7D2B7500, - 0x7D2B7500 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x19, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_CAMNOC_SF_UNCOMP", - "ICBID_SLAVE_CAMNOC_UNCOMP", - 0x713FB300, - 0x713FB300 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x1A, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_CAMNOC_SF_UNCOMP", - "ICBID_SLAVE_CAMNOC_UNCOMP", - 0x6553F100, - 0x6553F100 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x1B, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_CAMNOC_SF_UNCOMP", - "ICBID_SLAVE_CAMNOC_UNCOMP", - 0x59682F00, - 0x59682F00 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x1C, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_CAMNOC_SF_UNCOMP", - "ICBID_SLAVE_CAMNOC_UNCOMP", - 0x4D7C6D00, - 0x4D7C6D00 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x1D, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_CAMNOC_SF_UNCOMP", - "ICBID_SLAVE_CAMNOC_UNCOMP", - 0x4190AB00, - 0x4190AB00 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x1E, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_CAMNOC_SF_UNCOMP", - "ICBID_SLAVE_CAMNOC_UNCOMP", - 0x35A4E900, - 0x35A4E900 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x1F, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_CAMNOC_SF_UNCOMP", - "ICBID_SLAVE_CAMNOC_UNCOMP", - 0x29B92700, - 0x29B92700 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x20, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_CAMNOC_SF_UNCOMP", - "ICBID_SLAVE_CAMNOC_UNCOMP", - 0x1DCD6500, - 0x1DCD6500 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x21, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_CAMNOC_SF_UNCOMP", - "ICBID_SLAVE_CAMNOC_UNCOMP", - 0x17D78400, - 0x17D78400 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x22, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_CAMNOC_SF_UNCOMP", - "ICBID_SLAVE_CAMNOC_UNCOMP", - 0x11E1A300, - 0x11E1A300 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x23, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_CAMNOC_SF_UNCOMP", - "ICBID_SLAVE_CAMNOC_UNCOMP", - 0x0BEBC200, - 0x0BEBC200 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x24, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_CAMNOC_SF_UNCOMP", - "ICBID_SLAVE_CAMNOC_UNCOMP", - 0x05F5E100, - 0x05F5E100 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x25, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_CAMNOC_SF_UNCOMP", - "ICBID_SLAVE_CAMNOC_UNCOMP", - Zero, - Zero - } - } - } - }, - - Package (0x28) - { - "PSTATE_SET", - 0x02, - Package (0x03) - { - "PSTATE", - Zero, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_CAMNOC_SF", - "ICBID_SLAVE_EBI1", - 0x00000002CB417800, - 0x00000002CB417800 - } - } - }, - - Package (0x03) - { - "PSTATE", - One, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_CAMNOC_SF", - "ICBID_SLAVE_EBI1", - 0x00000002AD741300, - 0x00000002AD741300 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x02, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_CAMNOC_SF", - "ICBID_SLAVE_EBI1", - 0x000000028FA6AE00, - 0x000000028FA6AE00 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x03, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_CAMNOC_SF", - "ICBID_SLAVE_EBI1", - 0x0000000271D94900, - 0x0000000271D94900 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x04, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_CAMNOC_SF", - "ICBID_SLAVE_EBI1", - 0x00000002540BE400, - 0x00000002540BE400 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x05, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_CAMNOC_SF", - "ICBID_SLAVE_EBI1", - 0x00000002363E7F00, - 0x00000002363E7F00 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x06, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_CAMNOC_SF", - "ICBID_SLAVE_EBI1", - 0x0000000218711A00, - 0x0000000218711A00 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x07, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_CAMNOC_SF", - "ICBID_SLAVE_EBI1", - 0x00000001FAA3B500, - 0x00000001FAA3B500 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x08, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_CAMNOC_SF", - "ICBID_SLAVE_EBI1", - 0x00000001DCD65000, - 0x00000001DCD65000 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x09, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_CAMNOC_SF", - "ICBID_SLAVE_EBI1", - 0x00000001BF08EB00, - 0x00000001BF08EB00 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x0A, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_CAMNOC_SF", - "ICBID_SLAVE_EBI1", - 0x00000001A13B8600, - 0x00000001A13B8600 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x0B, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_CAMNOC_SF", - "ICBID_SLAVE_EBI1", - 0x00000001836E2100, - 0x00000001836E2100 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x0C, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_CAMNOC_SF", - "ICBID_SLAVE_EBI1", - 0x0000000165A0BC00, - 0x0000000165A0BC00 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x0D, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_CAMNOC_SF", - "ICBID_SLAVE_EBI1", - 0x0000000147D35700, - 0x0000000147D35700 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x0E, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_CAMNOC_SF", - "ICBID_SLAVE_EBI1", - 0x000000012A05F200, - 0x000000012A05F200 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x0F, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_CAMNOC_SF", - "ICBID_SLAVE_EBI1", - 0x000000010C388D00, - 0x000000010C388D00 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x10, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_CAMNOC_SF", - "ICBID_SLAVE_EBI1", - 0xEE6B2800, - 0xEE6B2800 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x11, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_CAMNOC_SF", - "ICBID_SLAVE_EBI1", - 0xD09DC300, - 0xD09DC300 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x12, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_CAMNOC_SF", - "ICBID_SLAVE_EBI1", - 0xC4B20100, - 0xC4B20100 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x13, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_CAMNOC_SF", - "ICBID_SLAVE_EBI1", - 0xB8C63F00, - 0xB8C63F00 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x14, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_CAMNOC_SF", - "ICBID_SLAVE_EBI1", - 0xACDA7D00, - 0xACDA7D00 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x15, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_CAMNOC_SF", - "ICBID_SLAVE_EBI1", - 0xA0EEBB00, - 0xA0EEBB00 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x16, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_CAMNOC_SF", - "ICBID_SLAVE_EBI1", - 0x9502F900, - 0x9502F900 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x17, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_CAMNOC_SF", - "ICBID_SLAVE_EBI1", - 0x89173700, - 0x89173700 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x18, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_CAMNOC_SF", - "ICBID_SLAVE_EBI1", - 0x7D2B7500, - 0x7D2B7500 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x19, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_CAMNOC_SF", - "ICBID_SLAVE_EBI1", - 0x713FB300, - 0x713FB300 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x1A, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_CAMNOC_SF", - "ICBID_SLAVE_EBI1", - 0x6553F100, - 0x6553F100 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x1B, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_CAMNOC_SF", - "ICBID_SLAVE_EBI1", - 0x59682F00, - 0x59682F00 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x1C, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_CAMNOC_SF", - "ICBID_SLAVE_EBI1", - 0x4D7C6D00, - 0x4D7C6D00 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x1D, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_CAMNOC_SF", - "ICBID_SLAVE_EBI1", - 0x4190AB00, - 0x4190AB00 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x1E, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_CAMNOC_SF", - "ICBID_SLAVE_EBI1", - 0x35A4E900, - 0x35A4E900 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x1F, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_CAMNOC_SF", - "ICBID_SLAVE_EBI1", - 0x29B92700, - 0x29B92700 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x20, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_CAMNOC_SF", - "ICBID_SLAVE_EBI1", - 0x1DCD6500, - 0x1DCD6500 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x21, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_CAMNOC_SF", - "ICBID_SLAVE_EBI1", - 0x17D78400, - 0x17D78400 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x22, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_CAMNOC_SF", - "ICBID_SLAVE_EBI1", - 0x11E1A300, - 0x11E1A300 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x23, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_CAMNOC_SF", - "ICBID_SLAVE_EBI1", - 0x0BEBC200, - 0x0BEBC200 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x24, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_CAMNOC_SF", - "ICBID_SLAVE_EBI1", - 0x05F5E100, - 0x05F5E100 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x25, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_CAMNOC_SF", - "ICBID_SLAVE_EBI1", - Zero, - Zero - } - } - } - } - }, - - Package (0x08) - { - "COMPONENT", - One, - Package (0x0E) - { - "FSTATE", - Zero, - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_camera_xo_clk", - One - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_camera_ahb_clk", - One - } - }, - - Package (0x02) - { - "PSTATE_ADJUST", - Package (0x02) - { - 0x03, - 0x04 - } - }, - - Package (0x02) - { - "PSTATE_ADJUST", - Package (0x02) - { - 0x02, - 0x04 - } - }, - - Package (0x02) - { - "PSTATE_ADJUST", - Package (0x02) - { - One, - 0x04 - } - }, - - Package (0x02) - { - "PSTATE_ADJUST", - Package (0x02) - { - Zero, - 0x04 - } - }, - - Package (0x02) - { - "FOOTSWITCH", - Package (0x02) - { - "titan_top_gdsc", - One - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_camera_axi_clk", - One - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "cam_cc_soc_ahb_clk", - One - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "cam_cc_cpas_ahb_clk", - One - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "cam_cc_camnoc_axi_clk", - One - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "cam_cc_cci_clk", - One - } - } - }, - - Package (0x0E) - { - "FSTATE", - One, - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "cam_cc_cci_clk", - 0x02 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "cam_cc_camnoc_axi_clk", - 0x02 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "cam_cc_cpas_ahb_clk", - 0x02 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "cam_cc_soc_ahb_clk", - 0x02 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_camera_axi_clk", - 0x02 - } - }, - - Package (0x02) - { - "FOOTSWITCH", - Package (0x02) - { - "titan_top_gdsc", - 0x02 - } - }, - - Package (0x02) - { - "PSTATE_ADJUST", - Package (0x02) - { - Zero, - 0x05 - } - }, - - Package (0x02) - { - "PSTATE_ADJUST", - Package (0x02) - { - One, - 0x05 - } - }, - - Package (0x02) - { - "PSTATE_ADJUST", - Package (0x02) - { - 0x02, - 0x05 - } - }, - - Package (0x02) - { - "PSTATE_ADJUST", - Package (0x02) - { - 0x03, - 0x05 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_camera_ahb_clk", - 0x02 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_camera_xo_clk", - 0x02 - } - } - }, - - Package (0x08) - { - "PSTATE_SET", - Zero, - Package (0x03) - { - "PSTATE", - Zero, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_CAMNOC_HF0_UNCOMP", - "ICBID_SLAVE_CAMNOC_UNCOMP", - 0x4190AB00, - 0x4190AB00 - } - } - }, - - Package (0x03) - { - "PSTATE", - One, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_CAMNOC_HF0_UNCOMP", - "ICBID_SLAVE_CAMNOC_UNCOMP", - 0x17D78400, - 0x17D78400 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x02, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_CAMNOC_HF0_UNCOMP", - "ICBID_SLAVE_CAMNOC_UNCOMP", - 0x11E1A300, - 0x11E1A300 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x03, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_CAMNOC_HF0_UNCOMP", - "ICBID_SLAVE_CAMNOC_UNCOMP", - 0x0BEBC200, - 0x0BEBC200 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x04, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_CAMNOC_HF0_UNCOMP", - "ICBID_SLAVE_CAMNOC_UNCOMP", - 0x05F5E100, - 0x05F5E100 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x05, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_CAMNOC_HF0_UNCOMP", - "ICBID_SLAVE_CAMNOC_UNCOMP", - Zero, - Zero - } - } - } - }, - - Package (0x08) - { - "PSTATE_SET", - One, - Package (0x03) - { - "PSTATE", - Zero, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_CAMNOC_HF0", - "ICBID_SLAVE_EBI1", - 0x4190AB00, - 0x4190AB00 - } - } - }, - - Package (0x03) - { - "PSTATE", - One, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_CAMNOC_HF0", - "ICBID_SLAVE_EBI1", - 0x17D78400, - 0x17D78400 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x02, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_CAMNOC_HF0", - "ICBID_SLAVE_EBI1", - 0x11E1A300, - 0x11E1A300 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x03, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_CAMNOC_HF0", - "ICBID_SLAVE_EBI1", - 0x0BEBC200, - 0x0BEBC200 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x04, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_CAMNOC_HF0", - "ICBID_SLAVE_EBI1", - 0x05F5E100, - 0x05F5E100 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x05, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_CAMNOC_HF0", - "ICBID_SLAVE_EBI1", - Zero, - Zero - } - } - } - }, - - Package (0x08) - { - "PSTATE_SET", - 0x02, - Package (0x03) - { - "PSTATE", - Zero, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_CAMNOC_SF_UNCOMP", - "ICBID_SLAVE_CAMNOC_UNCOMP", - 0x4190AB00, - 0x4190AB00 - } - } - }, - - Package (0x03) - { - "PSTATE", - One, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_CAMNOC_SF_UNCOMP", - "ICBID_SLAVE_CAMNOC_UNCOMP", - 0x17D78400, - 0x17D78400 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x02, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_CAMNOC_SF_UNCOMP", - "ICBID_SLAVE_CAMNOC_UNCOMP", - 0x11E1A300, - 0x11E1A300 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x03, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_CAMNOC_SF_UNCOMP", - "ICBID_SLAVE_CAMNOC_UNCOMP", - 0x0BEBC200, - 0x0BEBC200 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x04, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_CAMNOC_SF_UNCOMP", - "ICBID_SLAVE_CAMNOC_UNCOMP", - 0x05F5E100, - 0x05F5E100 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x05, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_CAMNOC_SF_UNCOMP", - "ICBID_SLAVE_CAMNOC_UNCOMP", - Zero, - Zero - } - } - } - }, - - Package (0x08) - { - "PSTATE_SET", - 0x03, - Package (0x03) - { - "PSTATE", - Zero, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_CAMNOC_SF", - "ICBID_SLAVE_EBI1", - 0x4190AB00, - 0x4190AB00 - } - } - }, - - Package (0x03) - { - "PSTATE", - One, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_CAMNOC_SF", - "ICBID_SLAVE_EBI1", - 0x17D78400, - 0x17D78400 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x02, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_CAMNOC_SF", - "ICBID_SLAVE_EBI1", - 0x11E1A300, - 0x11E1A300 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x03, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_CAMNOC_SF", - "ICBID_SLAVE_EBI1", - 0x0BEBC200, - 0x0BEBC200 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x04, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_CAMNOC_SF", - "ICBID_SLAVE_EBI1", - 0x05F5E100, - 0x05F5E100 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x05, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_CAMNOC_SF", - "ICBID_SLAVE_EBI1", - Zero, - Zero - } - } - } - } - }, - - Package (0x04) - { - "COMPONENT", - 0x02, - Package (0x02) - { - "FSTATE", - Zero - }, - - Package (0x02) - { - "FSTATE", - One - } - } - }, - - Package (0x04) - { - "DEVICE", - "\\_SB.CAMS", - Package (0x19) - { - "DSTATE", - Zero, - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_camera_xo_clk", - One - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_camera_ahb_clk", - One - } - }, - - Package (0x02) - { - "FOOTSWITCH", - Package (0x02) - { - "titan_top_gdsc", - One - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_camera_axi_clk", - One - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "cam_cc_soc_ahb_clk", - One - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "cam_cc_cpas_ahb_clk", - One - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "cam_cc_camnoc_axi_clk", - One - } - }, - - Package (0x02) - { - "PMICVREGVOTE", - Package (0x06) - { - "PPP_RESOURCE_ID_LDO16_A", - One, - 0x00294280, - One, - One, - Zero - } - }, - - Package (0x02) - { - "TLMMGPIO", - Package (0x06) - { - 0x5F, - One, - Zero, - One, - One, - Zero - } - }, - - Package (0x02) - { - "DELAY", - Package (0x01) - { - 0x04 - } - }, - - Package (0x02) - { - "TLMMGPIO", - Package (0x06) - { - 0x5B, - One, - Zero, - One, - One, - Zero - } - }, - - Package (0x02) - { - "DELAY", - Package (0x01) - { - One - } - }, - - Package (0x02) - { - "PMICVREGVOTE", - Package (0x04) - { - "PPP_RESOURCE_ID_LVS1_A", - 0x04, - 0x001B7740, - One - } - }, - - Package (0x02) - { - "DELAY", - Package (0x01) - { - One - } - }, - - Package (0x02) - { - "TLMMGPIO", - Package (0x06) - { - 0x11, - One, - One, - One, - 0x03, - One - } - }, - - Package (0x02) - { - "TLMMGPIO", - Package (0x06) - { - 0x12, - One, - One, - One, - 0x03, - One - } - }, - - Package (0x02) - { - "PMICVREGVOTE", - Package (0x06) - { - "PPP_RESOURCE_ID_LDO19_A", - One, - 0x002F5D00, - One, - One, - Zero - } - }, - - Package (0x02) - { - "DELAY", - Package (0x01) - { - One - } - }, - - Package (0x02) - { - "TLMMGPIO", - Package (0x06) - { - 0x19, - One, - Zero, - One, - One, - Zero - } - }, - - Package (0x02) - { - "DELAY", - Package (0x01) - { - 0x02 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x04) - { - "cam_cc_mclk0_clk", - 0x08, - 0x016E3600, - 0x03 - } - }, - - Package (0x02) - { - "TLMMGPIO", - Package (0x06) - { - 0x0D, - One, - One, - One, - Zero, - One - } - }, - - Package (0x02) - { - "DELAY", - Package (0x01) - { - One - } - } - }, - - Package (0x1A) - { - "DSTATE", - 0x03, - Package (0x02) - { - "DELAY", - Package (0x01) - { - One - } - }, - - Package (0x02) - { - "TLMMGPIO", - Package (0x06) - { - 0x0D, - Zero, - Zero, - One, - One, - Zero - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "cam_cc_mclk0_clk", - 0x02 - } - }, - - Package (0x02) - { - "DELAY", - Package (0x01) - { - One - } - }, - - Package (0x02) - { - "PMICVREGVOTE", - Package (0x06) - { - "PPP_RESOURCE_ID_LDO19_A", - One, - Zero, - Zero, - Zero, - Zero - } - }, - - Package (0x02) - { - "DELAY", - Package (0x01) - { - One - } - }, - - Package (0x02) - { - "TLMMGPIO", - Package (0x06) - { - 0x19, - Zero, - Zero, - One, - One, - Zero - } - }, - - Package (0x02) - { - "DELAY", - Package (0x01) - { - One - } - }, - - Package (0x02) - { - "PMICVREGVOTE", - Package (0x04) - { - "PPP_RESOURCE_ID_LVS1_A", - 0x04, - Zero, - Zero - } - }, - - Package (0x02) - { - "DELAY", - Package (0x01) - { - One - } - }, - - Package (0x02) - { - "TLMMGPIO", - Package (0x06) - { - 0x5B, - Zero, - Zero, - One, - One, - Zero - } - }, - - Package (0x02) - { - "DELAY", - Package (0x01) - { - One - } - }, - - Package (0x02) - { - "TLMMGPIO", - Package (0x06) - { - 0x12, - Zero, - Zero, - Zero, - One, - Zero - } - }, - - Package (0x02) - { - "TLMMGPIO", - Package (0x06) - { - 0x11, - Zero, - Zero, - Zero, - One, - Zero - } - }, - - Package (0x02) - { - "TLMMGPIO", - Package (0x06) - { - 0x5F, - Zero, - Zero, - One, - One, - Zero - } - }, - - Package (0x02) - { - "DELAY", - Package (0x01) - { - One - } - }, - - Package (0x02) - { - "PMICVREGVOTE", - Package (0x06) - { - "PPP_RESOURCE_ID_LDO16_A", - One, - Zero, - Zero, - Zero, - Zero - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "cam_cc_camnoc_axi_clk", - 0x02 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "cam_cc_cpas_ahb_clk", - 0x02 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "cam_cc_soc_ahb_clk", - 0x02 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_camera_axi_clk", - 0x02 - } - }, - - Package (0x02) - { - "FOOTSWITCH", - Package (0x02) - { - "titan_top_gdsc", - 0x02 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_camera_ahb_clk", - 0x02 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_camera_xo_clk", - 0x02 - } - } - } - }, - - Package (0x04) - { - "DEVICE", - "\\_SB.CAMF", - Package (0x17) - { - "DSTATE", - Zero, - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_camera_xo_clk", - One - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_camera_ahb_clk", - One - } - }, - - Package (0x02) - { - "FOOTSWITCH", - Package (0x02) - { - "titan_top_gdsc", - One - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_camera_axi_clk", - One - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "cam_cc_soc_ahb_clk", - One - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "cam_cc_cpas_ahb_clk", - One - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "cam_cc_camnoc_axi_clk", - One - } - }, - - Package (0x02) - { - "PMICVREGVOTE", - Package (0x06) - { - "PPP_RESOURCE_ID_LDO16_A", - One, - 0x00294280, - One, - One, - Zero - } - }, - - Package (0x02) - { - "TLMMGPIO", - Package (0x06) - { - 0x81, - One, - Zero, - One, - One, - Zero - } - }, - - Package (0x02) - { - "DELAY", - Package (0x01) - { - One - } - }, - - Package (0x02) - { - "TLMMGPIO", - Package (0x06) - { - 0x7F, - One, - Zero, - One, - One, - Zero - } - }, - - Package (0x02) - { - "DELAY", - Package (0x01) - { - One - } - }, - - Package (0x02) - { - "TLMMGPIO", - Package (0x06) - { - 0x13, - One, - One, - One, - 0x03, - One - } - }, - - Package (0x02) - { - "TLMMGPIO", - Package (0x06) - { - 0x14, - One, - One, - One, - 0x03, - One - } - }, - - Package (0x02) - { - "PMICVREGVOTE", - Package (0x04) - { - "PPP_RESOURCE_ID_LVS2_A", - 0x04, - 0x001B7740, - One - } - }, - - Package (0x02) - { - "DELAY", - Package (0x01) - { - One - } - }, - - Package (0x02) - { - "TLMMGPIO", - Package (0x06) - { - 0x0C, - One, - Zero, - One, - One, - Zero - } - }, - - Package (0x02) - { - "DELAY", - Package (0x01) - { - 0x02 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x04) - { - "cam_cc_mclk2_clk", - 0x08, - 0x016E3600, - 0x03 - } - }, - - Package (0x02) - { - "TLMMGPIO", - Package (0x06) - { - 0x0F, - One, - One, - One, - Zero, - One - } - }, - - Package (0x02) - { - "DELAY", - Package (0x01) - { - One - } - } - }, - - Package (0x18) - { - "DSTATE", - 0x03, - Package (0x02) - { - "DELAY", - Package (0x01) - { - One - } - }, - - Package (0x02) - { - "TLMMGPIO", - Package (0x06) - { - 0x0F, - Zero, - Zero, - One, - One, - Zero - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x04) - { - "cam_cc_mclk2_clk", - 0x0C, - Zero, - 0x03 - } - }, - - Package (0x02) - { - "DELAY", - Package (0x01) - { - One - } - }, - - Package (0x02) - { - "TLMMGPIO", - Package (0x06) - { - 0x0C, - Zero, - Zero, - One, - One, - Zero - } - }, - - Package (0x02) - { - "DELAY", - Package (0x01) - { - One - } - }, - - Package (0x02) - { - "PMICVREGVOTE", - Package (0x04) - { - "PPP_RESOURCE_ID_LVS2_A", - 0x04, - Zero, - Zero - } - }, - - Package (0x02) - { - "DELAY", - Package (0x01) - { - One - } - }, - - Package (0x02) - { - "TLMMGPIO", - Package (0x06) - { - 0x7F, - Zero, - Zero, - One, - One, - Zero - } - }, - - Package (0x02) - { - "DELAY", - Package (0x01) - { - One - } - }, - - Package (0x02) - { - "TLMMGPIO", - Package (0x06) - { - 0x14, - Zero, - Zero, - Zero, - One, - Zero - } - }, - - Package (0x02) - { - "TLMMGPIO", - Package (0x06) - { - 0x13, - Zero, - Zero, - Zero, - One, - Zero - } - }, - - Package (0x02) - { - "TLMMGPIO", - Package (0x06) - { - 0x81, - Zero, - Zero, - One, - One, - Zero - } - }, - - Package (0x02) - { - "DELAY", - Package (0x01) - { - One - } - }, - - Package (0x02) - { - "PMICVREGVOTE", - Package (0x06) - { - "PPP_RESOURCE_ID_LDO16_A", - One, - Zero, - Zero, - Zero, - Zero - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "cam_cc_camnoc_axi_clk", - 0x02 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "cam_cc_cpas_ahb_clk", - 0x02 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "cam_cc_soc_ahb_clk", - 0x02 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_camera_axi_clk", - 0x02 - } - }, - - Package (0x02) - { - "FOOTSWITCH", - Package (0x02) - { - "titan_top_gdsc", - 0x02 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_camera_ahb_clk", - 0x02 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_camera_xo_clk", - 0x02 - } - } - } - }, - - Package (0x04) - { - "DEVICE", - "\\_SB.CAMI", - Package (0x15) - { - "DSTATE", - Zero, - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_camera_xo_clk", - One - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_camera_ahb_clk", - One - } - }, - - Package (0x02) - { - "FOOTSWITCH", - Package (0x02) - { - "titan_top_gdsc", - One - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_camera_axi_clk", - One - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "cam_cc_soc_ahb_clk", - One - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "cam_cc_cpas_ahb_clk", - One - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "cam_cc_camnoc_axi_clk", - One - } - }, - - Package (0x02) - { - "PMICGPIO", - Package (0x08) - { - "IOCTL_PM_GPIO_CONFIG_DIGITAL_OUTPUT", - Zero, - Zero, - Zero, - One, - One, - 0x03, - Zero - } - }, - - Package (0x02) - { - "DELAY", - Package (0x01) - { - One - } - }, - - Package (0x02) - { - "PMICVREGVOTE", - Package (0x04) - { - "PPP_RESOURCE_ID_LVS1_A", - 0x04, - 0x001B7740, - One - } - }, - - Package (0x02) - { - "DELAY", - Package (0x01) - { - One - } - }, - - Package (0x02) - { - "PMICVREGVOTE", - Package (0x06) - { - "PPP_RESOURCE_ID_LDO22_A", - One, - 0x00328980, - One, - 0x07, - Zero - } - }, - - Package (0x02) - { - "DELAY", - Package (0x01) - { - One - } - }, - - Package (0x02) - { - "TLMMGPIO", - Package (0x06) - { - 0x17, - Zero, - Zero, - One, - Zero, - Zero - } - }, - - Package (0x02) - { - "DELAY", - Package (0x01) - { - One - } - }, - - Package (0x02) - { - "TLMMGPIO", - Package (0x06) - { - 0x17, - One, - Zero, - One, - Zero, - Zero - } - }, - - Package (0x02) - { - "DELAY", - Package (0x01) - { - One - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x04) - { - "cam_cc_mclk2_clk", - 0x08, - 0x016E3600, - 0x03 - } - }, - - Package (0x02) - { - "DELAY", - Package (0x01) - { - One - } - } - }, - - Package (0x12) - { - "DSTATE", - 0x03, - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "cam_cc_mclk2_clk", - 0x02 - } - }, - - Package (0x02) - { - "DELAY", - Package (0x01) - { - One - } - }, - - Package (0x02) - { - "TLMMGPIO", - Package (0x06) - { - 0x17, - One, - Zero, - One, - Zero, - Zero - } - }, - - Package (0x02) - { - "DELAY", - Package (0x01) - { - One - } - }, - - Package (0x02) - { - "TLMMGPIO", - Package (0x06) - { - 0x17, - Zero, - Zero, - One, - Zero, - Zero - } - }, - - Package (0x02) - { - "DELAY", - Package (0x01) - { - One - } - }, - - Package (0x02) - { - "PMICVREGVOTE", - Package (0x06) - { - "PPP_RESOURCE_ID_LDO22_A", - One, - Zero, - Zero, - Zero, - Zero - } - }, - - Package (0x02) - { - "PMICVREGVOTE", - Package (0x04) - { - "PPP_RESOURCE_ID_LVS1_A", - 0x04, - Zero, - Zero - } - }, - - Package (0x02) - { - "PMICGPIO", - Package (0x08) - { - "IOCTL_PM_GPIO_CONFIG_DIGITAL_OUTPUT", - Zero, - Zero, - Zero, - One, - Zero, - 0x03, - Zero - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "cam_cc_camnoc_axi_clk", - 0x02 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "cam_cc_cpas_ahb_clk", - 0x02 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "cam_cc_soc_ahb_clk", - 0x02 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_camera_axi_clk", - 0x02 - } - }, - - Package (0x02) - { - "FOOTSWITCH", - Package (0x02) - { - "titan_top_gdsc", - 0x02 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_camera_ahb_clk", - 0x02 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_camera_xo_clk", - 0x02 - } - } - } - } - }) - } - - Scope (PEP0) - { - Method (CPMD, 0, NotSerialized) - { - Return (CPCC) /* \_SB_.PEP0.CPCC */ - } - - Name (CPCC, Package (0x03) - { - Package (0x04) - { - "DEVICE", - "\\_SB.JPGE", - Package (0x05) - { - "COMPONENT", - Zero, - Package (0x0B) - { - "FSTATE", - Zero, - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_camera_xo_clk", - One - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_camera_ahb_clk", - One - } - }, - - Package (0x02) - { - "FOOTSWITCH", - Package (0x02) - { - "titan_top_gdsc", - One - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_camera_axi_clk", - One - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "cam_cc_soc_ahb_clk", - One - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "cam_cc_cpas_ahb_clk", - One - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "cam_cc_camnoc_axi_clk", - One - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "cam_cc_jpeg_clk", - One - } - }, - - Package (0x02) - { - "PSTATE_ADJUST", - Package (0x02) - { - Zero, - 0x02 - } - } - }, - - Package (0x0A) - { - "FSTATE", - One, - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "cam_cc_jpeg_clk", - 0x02 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "cam_cc_camnoc_axi_clk", - 0x02 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "cam_cc_cpas_ahb_clk", - 0x02 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "cam_cc_soc_ahb_clk", - 0x02 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_camera_axi_clk", - 0x02 - } - }, - - Package (0x02) - { - "FOOTSWITCH", - Package (0x02) - { - "titan_top_gdsc", - 0x02 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_camera_ahb_clk", - 0x02 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_camera_xo_clk", - 0x02 - } - } - }, - - Package (0x06) - { - "PSTATE_SET", - Zero, - Package (0x03) - { - "PSTATE", - Zero, - Package (0x02) - { - "CLOCK", - Package (0x04) - { - "cam_cc_jpeg_clk", - 0x03, - 0x23C34600, - 0x03 - } - } - }, - - Package (0x03) - { - "PSTATE", - One, - Package (0x02) - { - "CLOCK", - Package (0x04) - { - "cam_cc_jpeg_clk", - 0x03, - 0x23C34600, - 0x03 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x02, - Package (0x02) - { - "CLOCK", - Package (0x04) - { - "cam_cc_jpeg_clk", - 0x03, - 0x18148D00, - 0x03 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x03, - Package (0x02) - { - "CLOCK", - Package (0x04) - { - "cam_cc_jpeg_clk", - 0x03, - 0x0BEBC200, - 0x03 - } - } - } - } - }, - - Package (0x05) - { - "COMPONENT", - One, - Package (0x0B) - { - "FSTATE", - Zero, - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_camera_xo_clk", - One - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_camera_ahb_clk", - One - } - }, - - Package (0x02) - { - "FOOTSWITCH", - Package (0x02) - { - "titan_top_gdsc", - One - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_camera_axi_clk", - One - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "cam_cc_soc_ahb_clk", - One - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "cam_cc_cpas_ahb_clk", - One - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "cam_cc_camnoc_axi_clk", - One - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "cam_cc_jpeg_clk", - One - } - }, - - Package (0x02) - { - "PSTATE_ADJUST", - Package (0x02) - { - Zero, - 0x02 - } - } - }, - - Package (0x0A) - { - "FSTATE", - One, - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "cam_cc_jpeg_clk", - 0x02 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "cam_cc_camnoc_axi_clk", - 0x02 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "cam_cc_cpas_ahb_clk", - 0x02 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "cam_cc_soc_ahb_clk", - 0x02 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_camera_axi_clk", - 0x02 - } - }, - - Package (0x02) - { - "FOOTSWITCH", - Package (0x02) - { - "titan_top_gdsc", - 0x02 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_camera_ahb_clk", - 0x02 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_camera_xo_clk", - 0x02 - } - } - }, - - Package (0x05) - { - "PSTATE_SET", - Zero, - Package (0x03) - { - "PSTATE", - Zero, - Package (0x02) - { - "CLOCK", - Package (0x04) - { - "cam_cc_jpeg_clk", - 0x03, - 0x23C34600, - 0x03 - } - } - }, - - Package (0x03) - { - "PSTATE", - One, - Package (0x02) - { - "CLOCK", - Package (0x04) - { - "cam_cc_jpeg_clk", - 0x03, - 0x23C34600, - 0x03 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x02, - Package (0x02) - { - "CLOCK", - Package (0x04) - { - "cam_cc_jpeg_clk", - 0x03, - 0x0BEBC200, - 0x03 - } - } - } - } - } - }, - - Package (0x05) - { - "DEVICE", - "\\_SB.MPCS", - Package (0x04) - { - "COMPONENT", - Zero, - Package (0x0C) - { - "FSTATE", - Zero, - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_camera_xo_clk", - One - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_camera_ahb_clk", - One - } - }, - - Package (0x02) - { - "FOOTSWITCH", - Package (0x02) - { - "titan_top_gdsc", - One - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_camera_axi_clk", - One - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "cam_cc_soc_ahb_clk", - One - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "cam_cc_cpas_ahb_clk", - One - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "cam_cc_camnoc_axi_clk", - One - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "phy_refgen_south", - One - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x04) - { - "cam_cc_csi0phytimer_clk", - 0x08, - 0x100DA650, - 0x03 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x04) - { - "cam_cc_csiphy0_clk", - 0x08, - 0x16E36000, - 0x03 - } - } - }, - - Package (0x0C) - { - "FSTATE", - One, - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "cam_cc_csiphy0_clk", - 0x02 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "cam_cc_csi0phytimer_clk", - 0x02 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "phy_refgen_south", - 0x02 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "cam_cc_camnoc_axi_clk", - 0x02 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "cam_cc_cpas_ahb_clk", - 0x02 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "cam_cc_soc_ahb_clk", - 0x02 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_camera_axi_clk", - 0x02 - } - }, - - Package (0x02) - { - "FOOTSWITCH", - Package (0x02) - { - "titan_top_gdsc", - 0x02 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_camera_ahb_clk", - 0x02 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_camera_xo_clk", - 0x02 - } - } - } - }, - - Package (0x04) - { - "COMPONENT", - One, - Package (0x0C) - { - "FSTATE", - Zero, - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_camera_xo_clk", - One - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_camera_ahb_clk", - One - } - }, - - Package (0x02) - { - "FOOTSWITCH", - Package (0x02) - { - "titan_top_gdsc", - One - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_camera_axi_clk", - One - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "cam_cc_soc_ahb_clk", - One - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "cam_cc_cpas_ahb_clk", - One - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "cam_cc_camnoc_axi_clk", - One - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "phy_refgen_south", - One - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x04) - { - "cam_cc_csi1phytimer_clk", - 0x08, - 0x100DA650, - 0x03 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x04) - { - "cam_cc_csiphy1_clk", - 0x08, - 0x16E36000, - 0x03 - } - } - }, - - Package (0x0C) - { - "FSTATE", - One, - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "cam_cc_csiphy1_clk", - 0x02 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "cam_cc_csi1phytimer_clk", - 0x02 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "phy_refgen_south", - 0x02 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "cam_cc_camnoc_axi_clk", - 0x02 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "cam_cc_cpas_ahb_clk", - 0x02 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "cam_cc_soc_ahb_clk", - 0x02 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_camera_axi_clk", - 0x02 - } - }, - - Package (0x02) - { - "FOOTSWITCH", - Package (0x02) - { - "titan_top_gdsc", - 0x02 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_camera_ahb_clk", - 0x02 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_camera_xo_clk", - 0x02 - } - } - } - }, - - Package (0x04) - { - "COMPONENT", - 0x02, - Package (0x0C) - { - "FSTATE", - Zero, - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_camera_xo_clk", - One - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_camera_ahb_clk", - One - } - }, - - Package (0x02) - { - "FOOTSWITCH", - Package (0x02) - { - "titan_top_gdsc", - One - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_camera_axi_clk", - One - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "cam_cc_soc_ahb_clk", - One - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "cam_cc_cpas_ahb_clk", - One - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "cam_cc_camnoc_axi_clk", - One - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "phy_refgen_south", - One - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x04) - { - "cam_cc_csi2phytimer_clk", - 0x08, - 0x100DA650, - 0x03 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x04) - { - "cam_cc_csiphy2_clk", - 0x08, - 0x16E36000, - 0x03 - } - } - }, - - Package (0x0C) - { - "FSTATE", - One, - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "cam_cc_csiphy2_clk", - 0x02 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "cam_cc_csi2phytimer_clk", - 0x02 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "phy_refgen_south", - 0x02 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "cam_cc_camnoc_axi_clk", - 0x02 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "cam_cc_cpas_ahb_clk", - 0x02 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "cam_cc_soc_ahb_clk", - 0x02 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_camera_axi_clk", - 0x02 - } - }, - - Package (0x02) - { - "FOOTSWITCH", - Package (0x02) - { - "titan_top_gdsc", - 0x02 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_camera_ahb_clk", - 0x02 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_camera_xo_clk", - 0x02 - } - } - } - } - }, - - Package (0x0A) - { - "DEVICE", - "\\_SB.VFE0", - Package (0x07) - { - "COMPONENT", - Zero, - Package (0x12) - { - "FSTATE", - Zero, - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_camera_xo_clk", - One - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_camera_ahb_clk", - One - } - }, - - Package (0x02) - { - "FOOTSWITCH", - Package (0x02) - { - "titan_top_gdsc", - One - } - }, - - Package (0x02) - { - "PSTATE_ADJUST", - Package (0x02) - { - One, - 0x0A - } - }, - - Package (0x02) - { - "PSTATE_ADJUST", - Package (0x02) - { - 0x02, - 0x0A - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_camera_axi_clk", - One - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "cam_cc_soc_ahb_clk", - One - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "cam_cc_cpas_ahb_clk", - One - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "cam_cc_camnoc_axi_clk", - One - } - }, - - Package (0x02) - { - "FOOTSWITCH", - Package (0x02) - { - "ife_0_gdsc", - One - } - }, - - Package (0x02) - { - "PSTATE_ADJUST", - Package (0x02) - { - Zero, - One - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "cam_cc_ife_0_clk", - One - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x04) - { - "cam_cc_ife_0_cphy_rx_clk", - 0x08, - 0x16E36000, - 0x03 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x04) - { - "cam_cc_ife_0_csid_clk", - 0x08, - 0x16E36000, - 0x03 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "cam_cc_ife_0_dsp_clk", - One - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "cam_cc_ife_0_axi_clk", - One - } - } - }, - - Package (0x11) - { - "FSTATE", - One, - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "cam_cc_ife_0_axi_clk", - 0x02 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "cam_cc_ife_0_dsp_clk", - 0x02 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "cam_cc_ife_0_csid_clk", - 0x02 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "cam_cc_ife_0_cphy_rx_clk", - 0x02 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "cam_cc_ife_0_clk", - 0x02 - } - }, - - Package (0x02) - { - "FOOTSWITCH", - Package (0x02) - { - "ife_0_gdsc", - 0x02 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "cam_cc_camnoc_axi_clk", - 0x02 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "cam_cc_cpas_ahb_clk", - 0x02 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "cam_cc_soc_ahb_clk", - 0x02 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_camera_axi_clk", - 0x02 - } - }, - - Package (0x02) - { - "PSTATE_ADJUST", - Package (0x02) - { - 0x02, - 0x1D - } - }, - - Package (0x02) - { - "PSTATE_ADJUST", - Package (0x02) - { - One, - 0x1D - } - }, - - Package (0x02) - { - "FOOTSWITCH", - Package (0x02) - { - "titan_top_gdsc", - 0x02 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_camera_ahb_clk", - 0x02 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_camera_xo_clk", - 0x02 - } - } - }, - - Package (0x06) - { - "PSTATE_SET", - Zero, - Package (0x03) - { - "PSTATE", - Zero, - Package (0x02) - { - "CLOCK", - Package (0x04) - { - "cam_cc_ife_0_clk", - 0x03, - 0x23C34600, - 0x03 - } - } - }, - - Package (0x03) - { - "PSTATE", - One, - Package (0x02) - { - "CLOCK", - Package (0x04) - { - "cam_cc_ife_0_clk", - 0x03, - 0x1C9C3800, - 0x03 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x02, - Package (0x02) - { - "CLOCK", - Package (0x04) - { - "cam_cc_ife_0_clk", - 0x03, - 0x18148D00, - 0x03 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x03, - Package (0x02) - { - "CLOCK", - Package (0x04) - { - "cam_cc_ife_0_clk", - 0x03, - Zero, - 0x03 - } - } - } - }, - - Package (0x20) - { - "PSTATE_SET", - One, - Package (0x03) - { - "PSTATE", - Zero, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_CAMNOC_HF0_UNCOMP", - "ICBID_SLAVE_CAMNOC_UNCOMP", - 0x00000008D8F9FC00, - 0x00000008D8F9FC00 - } - } - }, - - Package (0x03) - { - "PSTATE", - One, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_CAMNOC_HF0_UNCOMP", - "ICBID_SLAVE_CAMNOC_UNCOMP", - 0x0000000826299E00, - 0x0000000826299E00 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x02, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_CAMNOC_HF0_UNCOMP", - "ICBID_SLAVE_CAMNOC_UNCOMP", - 0x0000000684EE1800, - 0x0000000684EE1800 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x03, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_CAMNOC_HF0_UNCOMP", - "ICBID_SLAVE_CAMNOC_UNCOMP", - 0x000000055AE82600, - 0x000000055AE82600 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x04, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_CAMNOC_HF0_UNCOMP", - "ICBID_SLAVE_CAMNOC_UNCOMP", - 0x00000004A817C800, - 0x00000004A817C800 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x05, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_CAMNOC_HF0_UNCOMP", - "ICBID_SLAVE_CAMNOC_UNCOMP", - 0x00000003B9ACA000, - 0x00000003B9ACA000 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x06, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_CAMNOC_HF0_UNCOMP", - "ICBID_SLAVE_CAMNOC_UNCOMP", - 0x0000000342770C00, - 0x0000000342770C00 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x07, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_CAMNOC_HF0_UNCOMP", - "ICBID_SLAVE_CAMNOC_UNCOMP", - 0x00000002CB417800, - 0x00000002CB417800 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x08, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_CAMNOC_HF0_UNCOMP", - "ICBID_SLAVE_CAMNOC_UNCOMP", - 0xEE6B2800, - 0xEE6B2800 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x09, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_CAMNOC_HF0_UNCOMP", - "ICBID_SLAVE_CAMNOC_UNCOMP", - 0xD09DC300, - 0xD09DC300 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x0A, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_CAMNOC_HF0_UNCOMP", - "ICBID_SLAVE_CAMNOC_UNCOMP", - 0xC4B20100, - 0xC4B20100 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x0B, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_CAMNOC_HF0_UNCOMP", - "ICBID_SLAVE_CAMNOC_UNCOMP", - 0xB8C63F00, - 0xB8C63F00 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x0C, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_CAMNOC_HF0_UNCOMP", - "ICBID_SLAVE_CAMNOC_UNCOMP", - 0xACDA7D00, - 0xACDA7D00 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x0D, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_CAMNOC_HF0_UNCOMP", - "ICBID_SLAVE_CAMNOC_UNCOMP", - 0xA0EEBB00, - 0xA0EEBB00 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x0E, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_CAMNOC_HF0_UNCOMP", - "ICBID_SLAVE_CAMNOC_UNCOMP", - 0x9502F900, - 0x9502F900 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x0F, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_CAMNOC_HF0_UNCOMP", - "ICBID_SLAVE_CAMNOC_UNCOMP", - 0x89173700, - 0x89173700 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x10, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_CAMNOC_HF0_UNCOMP", - "ICBID_SLAVE_CAMNOC_UNCOMP", - 0x7D2B7500, - 0x7D2B7500 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x11, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_CAMNOC_HF0_UNCOMP", - "ICBID_SLAVE_CAMNOC_UNCOMP", - 0x713FB300, - 0x713FB300 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x12, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_CAMNOC_HF0_UNCOMP", - "ICBID_SLAVE_CAMNOC_UNCOMP", - 0x6553F100, - 0x6553F100 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x13, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_CAMNOC_HF0_UNCOMP", - "ICBID_SLAVE_CAMNOC_UNCOMP", - 0x59682F00, - 0x59682F00 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x14, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_CAMNOC_HF0_UNCOMP", - "ICBID_SLAVE_CAMNOC_UNCOMP", - 0x4D7C6D00, - 0x4D7C6D00 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x15, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_CAMNOC_HF0_UNCOMP", - "ICBID_SLAVE_CAMNOC_UNCOMP", - 0x4190AB00, - 0x4190AB00 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x16, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_CAMNOC_HF0_UNCOMP", - "ICBID_SLAVE_CAMNOC_UNCOMP", - 0x35A4E900, - 0x35A4E900 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x17, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_CAMNOC_HF0_UNCOMP", - "ICBID_SLAVE_CAMNOC_UNCOMP", - 0x29B92700, - 0x29B92700 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x18, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_CAMNOC_HF0_UNCOMP", - "ICBID_SLAVE_CAMNOC_UNCOMP", - 0x1DCD6500, - 0x1DCD6500 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x19, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_CAMNOC_HF0_UNCOMP", - "ICBID_SLAVE_CAMNOC_UNCOMP", - 0x17D78400, - 0x17D78400 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x1A, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_CAMNOC_HF0_UNCOMP", - "ICBID_SLAVE_CAMNOC_UNCOMP", - 0x11E1A300, - 0x11E1A300 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x1B, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_CAMNOC_HF0_UNCOMP", - "ICBID_SLAVE_CAMNOC_UNCOMP", - 0x0BEBC200, - 0x0BEBC200 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x1C, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_CAMNOC_HF0_UNCOMP", - "ICBID_SLAVE_CAMNOC_UNCOMP", - 0x05F5E100, - 0x05F5E100 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x1D, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_CAMNOC_HF0_UNCOMP", - "ICBID_SLAVE_CAMNOC_UNCOMP", - Zero, - Zero - } - } - } - }, - - Package (0x20) - { - "PSTATE_SET", - 0x02, - Package (0x03) - { - "PSTATE", - Zero, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_CAMNOC_HF0", - "ICBID_SLAVE_EBI1", - 0x00000008D8F9FC00, - 0x00000008D8F9FC00 - } - } - }, - - Package (0x03) - { - "PSTATE", - One, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_CAMNOC_HF0", - "ICBID_SLAVE_EBI1", - 0x0000000826299E00, - 0x0000000826299E00 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x02, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_CAMNOC_HF0", - "ICBID_SLAVE_EBI1", - 0x0000000684EE1800, - 0x0000000684EE1800 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x03, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_CAMNOC_HF0", - "ICBID_SLAVE_EBI1", - 0x000000055AE82600, - 0x000000055AE82600 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x04, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_CAMNOC_HF0", - "ICBID_SLAVE_EBI1", - 0x00000004A817C800, - 0x00000004A817C800 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x05, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_CAMNOC_HF0", - "ICBID_SLAVE_EBI1", - 0x00000003B9ACA000, - 0x00000003B9ACA000 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x06, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_CAMNOC_HF0", - "ICBID_SLAVE_EBI1", - 0x0000000342770C00, - 0x0000000342770C00 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x07, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_CAMNOC_HF0", - "ICBID_SLAVE_EBI1", - 0x00000002CB417800, - 0x00000002CB417800 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x08, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_CAMNOC_HF0", - "ICBID_SLAVE_EBI1", - 0xEE6B2800, - 0xEE6B2800 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x09, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_CAMNOC_HF0", - "ICBID_SLAVE_EBI1", - 0xD09DC300, - 0xD09DC300 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x0A, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_CAMNOC_HF0", - "ICBID_SLAVE_EBI1", - 0xC4B20100, - 0xC4B20100 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x0B, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_CAMNOC_HF0", - "ICBID_SLAVE_EBI1", - 0xB8C63F00, - 0xB8C63F00 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x0C, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_CAMNOC_HF0", - "ICBID_SLAVE_EBI1", - 0xACDA7D00, - 0xACDA7D00 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x0D, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_CAMNOC_HF0", - "ICBID_SLAVE_EBI1", - 0xA0EEBB00, - 0xA0EEBB00 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x0E, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_CAMNOC_HF0", - "ICBID_SLAVE_EBI1", - 0x9502F900, - 0x9502F900 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x0F, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_CAMNOC_HF0", - "ICBID_SLAVE_EBI1", - 0x89173700, - 0x89173700 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x10, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_CAMNOC_HF0", - "ICBID_SLAVE_EBI1", - 0x7D2B7500, - 0x7D2B7500 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x11, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_CAMNOC_HF0", - "ICBID_SLAVE_EBI1", - 0x713FB300, - 0x713FB300 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x12, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_CAMNOC_HF0", - "ICBID_SLAVE_EBI1", - 0x6553F100, - 0x6553F100 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x13, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_CAMNOC_HF0", - "ICBID_SLAVE_EBI1", - 0x59682F00, - 0x59682F00 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x14, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_CAMNOC_HF0", - "ICBID_SLAVE_EBI1", - 0x4D7C6D00, - 0x4D7C6D00 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x15, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_CAMNOC_HF0", - "ICBID_SLAVE_EBI1", - 0x4190AB00, - 0x4190AB00 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x16, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_CAMNOC_HF0", - "ICBID_SLAVE_EBI1", - 0x35A4E900, - 0x35A4E900 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x17, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_CAMNOC_HF0", - "ICBID_SLAVE_EBI1", - 0x29B92700, - 0x29B92700 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x18, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_CAMNOC_HF0", - "ICBID_SLAVE_EBI1", - 0x1DCD6500, - 0x1DCD6500 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x19, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_CAMNOC_HF0", - "ICBID_SLAVE_EBI1", - 0x17D78400, - 0x17D78400 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x1A, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_CAMNOC_HF0", - "ICBID_SLAVE_EBI1", - 0x11E1A300, - 0x11E1A300 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x1B, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_CAMNOC_HF0", - "ICBID_SLAVE_EBI1", - 0x0BEBC200, - 0x0BEBC200 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x1C, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_CAMNOC_HF0", - "ICBID_SLAVE_EBI1", - 0x05F5E100, - 0x05F5E100 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x1D, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_CAMNOC_HF0", - "ICBID_SLAVE_EBI1", - Zero, - Zero - } - } - } - } - }, - - Package (0x07) - { - "COMPONENT", - One, - Package (0x12) - { - "FSTATE", - Zero, - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_camera_xo_clk", - One - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_camera_ahb_clk", - One - } - }, - - Package (0x02) - { - "FOOTSWITCH", - Package (0x02) - { - "titan_top_gdsc", - One - } - }, - - Package (0x02) - { - "PSTATE_ADJUST", - Package (0x02) - { - One, - 0x0A - } - }, - - Package (0x02) - { - "PSTATE_ADJUST", - Package (0x02) - { - 0x02, - 0x0A - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_camera_axi_clk", - One - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "cam_cc_soc_ahb_clk", - One - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "cam_cc_cpas_ahb_clk", - One - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "cam_cc_camnoc_axi_clk", - One - } - }, - - Package (0x02) - { - "FOOTSWITCH", - Package (0x02) - { - "ife_1_gdsc", - One - } - }, - - Package (0x02) - { - "PSTATE_ADJUST", - Package (0x02) - { - Zero, - 0x02 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "cam_cc_ife_1_clk", - One - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x04) - { - "cam_cc_ife_1_cphy_rx_clk", - 0x08, - 0x16E36000, - 0x03 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x04) - { - "cam_cc_ife_1_csid_clk", - 0x08, - 0x16E36000, - 0x03 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "cam_cc_ife_1_dsp_clk", - One - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "cam_cc_ife_1_axi_clk", - One - } - } - }, - - Package (0x11) - { - "FSTATE", - One, - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "cam_cc_ife_1_axi_clk", - 0x02 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "cam_cc_ife_1_dsp_clk", - 0x02 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "cam_cc_ife_1_csid_clk", - 0x02 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "cam_cc_ife_1_cphy_rx_clk", - 0x02 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "cam_cc_ife_1_clk", - 0x02 - } - }, - - Package (0x02) - { - "FOOTSWITCH", - Package (0x02) - { - "ife_1_gdsc", - 0x02 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "cam_cc_camnoc_axi_clk", - 0x02 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "cam_cc_cpas_ahb_clk", - 0x02 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "cam_cc_soc_ahb_clk", - 0x02 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_camera_axi_clk", - 0x02 - } - }, - - Package (0x02) - { - "PSTATE_ADJUST", - Package (0x02) - { - 0x02, - 0x1D - } - }, - - Package (0x02) - { - "PSTATE_ADJUST", - Package (0x02) - { - One, - 0x1D - } - }, - - Package (0x02) - { - "FOOTSWITCH", - Package (0x02) - { - "titan_top_gdsc", - 0x02 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_camera_ahb_clk", - 0x02 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_camera_xo_clk", - 0x02 - } - } - }, - - Package (0x06) - { - "PSTATE_SET", - Zero, - Package (0x03) - { - "PSTATE", - Zero, - Package (0x02) - { - "CLOCK", - Package (0x04) - { - "cam_cc_ife_1_clk", - 0x03, - 0x23C34600, - 0x03 - } - } - }, - - Package (0x03) - { - "PSTATE", - One, - Package (0x02) - { - "CLOCK", - Package (0x04) - { - "cam_cc_ife_1_clk", - 0x03, - 0x1C9C3800, - 0x03 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x02, - Package (0x02) - { - "CLOCK", - Package (0x04) - { - "cam_cc_ife_1_clk", - 0x03, - 0x18148D00, - 0x03 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x03, - Package (0x02) - { - "CLOCK", - Package (0x04) - { - "cam_cc_ife_1_clk", - 0x03, - Zero, - 0x03 - } - } - } - }, - - Package (0x20) - { - "PSTATE_SET", - One, - Package (0x03) - { - "PSTATE", - Zero, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_CAMNOC_HF1_UNCOMP", - "ICBID_SLAVE_CAMNOC_UNCOMP", - 0x00000008D8F9FC00, - 0x00000008D8F9FC00 - } - } - }, - - Package (0x03) - { - "PSTATE", - One, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_CAMNOC_HF1_UNCOMP", - "ICBID_SLAVE_CAMNOC_UNCOMP", - 0x0000000826299E00, - 0x0000000826299E00 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x02, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_CAMNOC_HF1_UNCOMP", - "ICBID_SLAVE_CAMNOC_UNCOMP", - 0x0000000684EE1800, - 0x0000000684EE1800 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x03, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_CAMNOC_HF1_UNCOMP", - "ICBID_SLAVE_CAMNOC_UNCOMP", - 0x000000055AE82600, - 0x000000055AE82600 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x04, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_CAMNOC_HF1_UNCOMP", - "ICBID_SLAVE_CAMNOC_UNCOMP", - 0x00000004A817C800, - 0x00000004A817C800 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x05, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_CAMNOC_HF1_UNCOMP", - "ICBID_SLAVE_CAMNOC_UNCOMP", - 0x00000003B9ACA000, - 0x00000003B9ACA000 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x06, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_CAMNOC_HF1_UNCOMP", - "ICBID_SLAVE_CAMNOC_UNCOMP", - 0x0000000342770C00, - 0x0000000342770C00 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x07, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_CAMNOC_HF1_UNCOMP", - "ICBID_SLAVE_CAMNOC_UNCOMP", - 0x00000002CB417800, - 0x00000002CB417800 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x08, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_CAMNOC_HF1_UNCOMP", - "ICBID_SLAVE_CAMNOC_UNCOMP", - 0xEE6B2800, - 0xEE6B2800 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x09, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_CAMNOC_HF1_UNCOMP", - "ICBID_SLAVE_CAMNOC_UNCOMP", - 0xD09DC300, - 0xD09DC300 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x0A, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_CAMNOC_HF1_UNCOMP", - "ICBID_SLAVE_CAMNOC_UNCOMP", - 0xC4B20100, - 0xC4B20100 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x0B, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_CAMNOC_HF1_UNCOMP", - "ICBID_SLAVE_CAMNOC_UNCOMP", - 0xB8C63F00, - 0xB8C63F00 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x0C, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_CAMNOC_HF1_UNCOMP", - "ICBID_SLAVE_CAMNOC_UNCOMP", - 0xACDA7D00, - 0xACDA7D00 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x0D, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_CAMNOC_HF1_UNCOMP", - "ICBID_SLAVE_CAMNOC_UNCOMP", - 0xA0EEBB00, - 0xA0EEBB00 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x0E, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_CAMNOC_HF1_UNCOMP", - "ICBID_SLAVE_CAMNOC_UNCOMP", - 0x9502F900, - 0x9502F900 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x0F, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_CAMNOC_HF1_UNCOMP", - "ICBID_SLAVE_CAMNOC_UNCOMP", - 0x89173700, - 0x89173700 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x10, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_CAMNOC_HF1_UNCOMP", - "ICBID_SLAVE_CAMNOC_UNCOMP", - 0x7D2B7500, - 0x7D2B7500 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x11, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_CAMNOC_HF1_UNCOMP", - "ICBID_SLAVE_CAMNOC_UNCOMP", - 0x713FB300, - 0x713FB300 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x12, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_CAMNOC_HF1_UNCOMP", - "ICBID_SLAVE_CAMNOC_UNCOMP", - 0x6553F100, - 0x6553F100 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x13, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_CAMNOC_HF1_UNCOMP", - "ICBID_SLAVE_CAMNOC_UNCOMP", - 0x59682F00, - 0x59682F00 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x14, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_CAMNOC_HF1_UNCOMP", - "ICBID_SLAVE_CAMNOC_UNCOMP", - 0x4D7C6D00, - 0x4D7C6D00 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x15, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_CAMNOC_HF1_UNCOMP", - "ICBID_SLAVE_CAMNOC_UNCOMP", - 0x4190AB00, - 0x4190AB00 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x16, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_CAMNOC_HF1_UNCOMP", - "ICBID_SLAVE_CAMNOC_UNCOMP", - 0x35A4E900, - 0x35A4E900 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x17, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_CAMNOC_HF1_UNCOMP", - "ICBID_SLAVE_CAMNOC_UNCOMP", - 0x29B92700, - 0x29B92700 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x18, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_CAMNOC_HF1_UNCOMP", - "ICBID_SLAVE_CAMNOC_UNCOMP", - 0x1DCD6500, - 0x1DCD6500 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x19, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_CAMNOC_HF1_UNCOMP", - "ICBID_SLAVE_CAMNOC_UNCOMP", - 0x17D78400, - 0x17D78400 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x1A, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_CAMNOC_HF1_UNCOMP", - "ICBID_SLAVE_CAMNOC_UNCOMP", - 0x11E1A300, - 0x11E1A300 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x1B, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_CAMNOC_HF1_UNCOMP", - "ICBID_SLAVE_CAMNOC_UNCOMP", - 0x0BEBC200, - 0x0BEBC200 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x1C, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_CAMNOC_HF1_UNCOMP", - "ICBID_SLAVE_CAMNOC_UNCOMP", - 0x05F5E100, - 0x05F5E100 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x1D, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_CAMNOC_HF1_UNCOMP", - "ICBID_SLAVE_CAMNOC_UNCOMP", - Zero, - Zero - } - } - } - }, - - Package (0x20) - { - "PSTATE_SET", - 0x02, - Package (0x03) - { - "PSTATE", - Zero, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_CAMNOC_HF1", - "ICBID_SLAVE_EBI1", - 0x00000008D8F9FC00, - 0x00000008D8F9FC00 - } - } - }, - - Package (0x03) - { - "PSTATE", - One, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_CAMNOC_HF1", - "ICBID_SLAVE_EBI1", - 0x0000000826299E00, - 0x0000000826299E00 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x02, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_CAMNOC_HF1", - "ICBID_SLAVE_EBI1", - 0x0000000684EE1800, - 0x0000000684EE1800 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x03, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_CAMNOC_HF1", - "ICBID_SLAVE_EBI1", - 0x000000055AE82600, - 0x000000055AE82600 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x04, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_CAMNOC_HF1", - "ICBID_SLAVE_EBI1", - 0x00000004A817C800, - 0x00000004A817C800 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x05, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_CAMNOC_HF1", - "ICBID_SLAVE_EBI1", - 0x00000003B9ACA000, - 0x00000003B9ACA000 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x06, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_CAMNOC_HF1", - "ICBID_SLAVE_EBI1", - 0x0000000342770C00, - 0x0000000342770C00 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x07, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_CAMNOC_HF1", - "ICBID_SLAVE_EBI1", - 0x00000002CB417800, - 0x00000002CB417800 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x08, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_CAMNOC_HF1", - "ICBID_SLAVE_EBI1", - 0xEE6B2800, - 0xEE6B2800 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x09, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_CAMNOC_HF1", - "ICBID_SLAVE_EBI1", - 0xD09DC300, - 0xD09DC300 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x0A, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_CAMNOC_HF1", - "ICBID_SLAVE_EBI1", - 0xC4B20100, - 0xC4B20100 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x0B, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_CAMNOC_HF1", - "ICBID_SLAVE_EBI1", - 0xB8C63F00, - 0xB8C63F00 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x0C, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_CAMNOC_HF1", - "ICBID_SLAVE_EBI1", - 0xACDA7D00, - 0xACDA7D00 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x0D, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_CAMNOC_HF1", - "ICBID_SLAVE_EBI1", - 0xA0EEBB00, - 0xA0EEBB00 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x0E, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_CAMNOC_HF1", - "ICBID_SLAVE_EBI1", - 0x9502F900, - 0x9502F900 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x0F, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_CAMNOC_HF1", - "ICBID_SLAVE_EBI1", - 0x89173700, - 0x89173700 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x10, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_CAMNOC_HF1", - "ICBID_SLAVE_EBI1", - 0x7D2B7500, - 0x7D2B7500 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x11, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_CAMNOC_HF1", - "ICBID_SLAVE_EBI1", - 0x713FB300, - 0x713FB300 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x12, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_CAMNOC_HF1", - "ICBID_SLAVE_EBI1", - 0x6553F100, - 0x6553F100 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x13, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_CAMNOC_HF1", - "ICBID_SLAVE_EBI1", - 0x59682F00, - 0x59682F00 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x14, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_CAMNOC_HF1", - "ICBID_SLAVE_EBI1", - 0x4D7C6D00, - 0x4D7C6D00 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x15, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_CAMNOC_HF1", - "ICBID_SLAVE_EBI1", - 0x4190AB00, - 0x4190AB00 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x16, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_CAMNOC_HF1", - "ICBID_SLAVE_EBI1", - 0x35A4E900, - 0x35A4E900 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x17, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_CAMNOC_HF1", - "ICBID_SLAVE_EBI1", - 0x29B92700, - 0x29B92700 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x18, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_CAMNOC_HF1", - "ICBID_SLAVE_EBI1", - 0x1DCD6500, - 0x1DCD6500 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x19, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_CAMNOC_HF1", - "ICBID_SLAVE_EBI1", - 0x17D78400, - 0x17D78400 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x1A, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_CAMNOC_HF1", - "ICBID_SLAVE_EBI1", - 0x11E1A300, - 0x11E1A300 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x1B, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_CAMNOC_HF1", - "ICBID_SLAVE_EBI1", - 0x0BEBC200, - 0x0BEBC200 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x1C, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_CAMNOC_HF1", - "ICBID_SLAVE_EBI1", - 0x05F5E100, - 0x05F5E100 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x1D, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_CAMNOC_HF1", - "ICBID_SLAVE_EBI1", - Zero, - Zero - } - } - } - } - }, - - Package (0x05) - { - "COMPONENT", - 0x02, - Package (0x0D) - { - "FSTATE", - Zero, - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_camera_xo_clk", - One - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_camera_ahb_clk", - One - } - }, - - Package (0x02) - { - "FOOTSWITCH", - Package (0x02) - { - "titan_top_gdsc", - One - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_camera_axi_clk", - One - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "cam_cc_soc_ahb_clk", - One - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "cam_cc_cpas_ahb_clk", - One - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "cam_cc_camnoc_axi_clk", - One - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "cam_cc_ife_lite_clk", - One - } - }, - - Package (0x02) - { - "PSTATE_ADJUST", - Package (0x02) - { - Zero, - 0x02 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x04) - { - "cam_cc_ife_lite_cphy_rx_clk", - 0x08, - 0x16E36000, - 0x03 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x04) - { - "cam_cc_ife_lite_csid_clk", - 0x08, - 0x16E36000, - 0x03 - } - } - }, - - Package (0x0D) - { - "FSTATE", - One, - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "cam_cc_ife_lite_csid_clk", - 0x02 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "cam_cc_ife_lite_cphy_rx_clk", - 0x02 - } - }, - - Package (0x02) - { - "PSTATE_ADJUST", - Package (0x02) - { - Zero, - 0x04 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "cam_cc_ife_lite_clk", - 0x02 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "cam_cc_camnoc_axi_clk", - 0x02 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "cam_cc_cpas_ahb_clk", - 0x02 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "cam_cc_soc_ahb_clk", - 0x02 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_camera_axi_clk", - 0x02 - } - }, - - Package (0x02) - { - "FOOTSWITCH", - Package (0x02) - { - "titan_top_gdsc", - 0x02 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_camera_ahb_clk", - 0x02 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_camera_xo_clk", - 0x02 - } - } - }, - - Package (0x06) - { - "PSTATE_SET", - Zero, - Package (0x03) - { - "PSTATE", - Zero, - Package (0x02) - { - "CLOCK", - Package (0x04) - { - "cam_cc_ife_lite_clk", - 0x03, - 0x23C34600, - 0x03 - } - } - }, - - Package (0x03) - { - "PSTATE", - One, - Package (0x02) - { - "CLOCK", - Package (0x04) - { - "cam_cc_ife_lite_clk", - 0x03, - 0x1C9C3800, - 0x03 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x02, - Package (0x02) - { - "CLOCK", - Package (0x04) - { - "cam_cc_ife_lite_clk", - 0x03, - 0x18148D00, - 0x03 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x03, - Package (0x02) - { - "CLOCK", - Package (0x04) - { - "cam_cc_ife_lite_clk", - 0x03, - Zero, - 0x03 - } - } - } - } - }, - - Package (0x05) - { - "COMPONENT", - 0x03, - Package (0x10) - { - "FSTATE", - Zero, - Package (0x02) - { - "NPARESOURCE", - Package (0x03) - { - One, - "/clk/qdss", - One - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_camera_xo_clk", - One - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_camera_ahb_clk", - One - } - }, - - Package (0x02) - { - "FOOTSWITCH", - Package (0x02) - { - "titan_top_gdsc", - One - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_camera_axi_clk", - One - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "cam_cc_soc_ahb_clk", - One - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "cam_cc_cpas_ahb_clk", - One - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "cam_cc_camnoc_axi_clk", - One - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "cam_cc_icp_apb_clk", - One - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "cam_cc_icp_clk", - One - } - }, - - Package (0x02) - { - "PSTATE_ADJUST", - Package (0x02) - { - Zero, - One - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "cam_cc_icp_atb_clk", - One - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "cam_cc_icp_cti_clk", - One - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "cam_cc_icp_ts_clk", - One - } - } - }, - - Package (0x10) - { - "FSTATE", - One, - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "cam_cc_icp_ts_clk", - 0x02 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "cam_cc_icp_cti_clk", - 0x02 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "cam_cc_icp_atb_clk", - 0x02 - } - }, - - Package (0x02) - { - "PSTATE_ADJUST", - Package (0x02) - { - Zero, - 0x02 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "cam_cc_icp_clk", - 0x02 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "cam_cc_icp_apb_clk", - 0x02 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "cam_cc_camnoc_axi_clk", - 0x02 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "cam_cc_cpas_ahb_clk", - 0x02 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "cam_cc_soc_ahb_clk", - 0x02 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_camera_axi_clk", - 0x02 - } - }, - - Package (0x02) - { - "FOOTSWITCH", - Package (0x02) - { - "titan_top_gdsc", - 0x02 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_camera_ahb_clk", - 0x02 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_camera_xo_clk", - 0x02 - } - }, - - Package (0x02) - { - "NPARESOURCE", - Package (0x03) - { - One, - "/clk/qdss", - Zero - } - } - }, - - Package (0x05) - { - "PSTATE_SET", - Zero, - Package (0x03) - { - "PSTATE", - Zero, - Package (0x02) - { - "CLOCK", - Package (0x04) - { - "cam_cc_icp_clk", - 0x03, - 0x23C34600, - 0x03 - } - } - }, - - Package (0x03) - { - "PSTATE", - One, - Package (0x02) - { - "CLOCK", - Package (0x04) - { - "cam_cc_icp_clk", - 0x03, - 0x17D78400, - 0x03 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x02, - Package (0x02) - { - "CLOCK", - Package (0x04) - { - "cam_cc_icp_clk", - 0x03, - Zero, - 0x03 - } - } - } - } - }, - - Package (0x06) - { - "COMPONENT", - 0x04, - Package (0x17) - { - "FSTATE", - Zero, - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_camera_xo_clk", - One - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_camera_ahb_clk", - One - } - }, - - Package (0x02) - { - "FOOTSWITCH", - Package (0x02) - { - "titan_top_gdsc", - One - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_camera_axi_clk", - One - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "cam_cc_soc_ahb_clk", - One - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "cam_cc_cpas_ahb_clk", - One - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "cam_cc_camnoc_axi_clk", - One - } - }, - - Package (0x02) - { - "FOOTSWITCH", - Package (0x02) - { - "ipe_0_gdsc", - One - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "cam_cc_ipe_0_ahb_clk", - One - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "cam_cc_ipe_0_clk", - One - } - }, - - Package (0x02) - { - "PSTATE_ADJUST", - Package (0x02) - { - Zero, - 0x02 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "cam_cc_ipe_0_areg_clk", - One - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "cam_cc_ipe_0_axi_clk", - One - } - }, - - Package (0x02) - { - "FOOTSWITCH", - Package (0x02) - { - "ipe_0_gdsc", - 0x03 - } - }, - - Package (0x02) - { - "FOOTSWITCH", - Package (0x02) - { - "ipe_1_gdsc", - One - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "cam_cc_ipe_1_ahb_clk", - One - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "cam_cc_ipe_1_clk", - One - } - }, - - Package (0x02) - { - "PSTATE_ADJUST", - Package (0x02) - { - One, - 0x02 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "cam_cc_ipe_1_areg_clk", - One - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "cam_cc_ipe_1_axi_clk", - One - } - }, - - Package (0x02) - { - "FOOTSWITCH", - Package (0x02) - { - "ipe_1_gdsc", - 0x03 - } - } - }, - - Package (0x17) - { - "FSTATE", - One, - Package (0x02) - { - "FOOTSWITCH", - Package (0x02) - { - "ipe_1_gdsc", - 0x04 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "cam_cc_ipe_1_axi_clk", - 0x02 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "cam_cc_ipe_1_areg_clk", - 0x02 - } - }, - - Package (0x02) - { - "PSTATE_ADJUST", - Package (0x02) - { - One, - 0x04 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "cam_cc_ipe_1_clk", - 0x02 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "cam_cc_ipe_1_ahb_clk", - 0x02 - } - }, - - Package (0x02) - { - "FOOTSWITCH", - Package (0x02) - { - "ipe_1_gdsc", - 0x02 - } - }, - - Package (0x02) - { - "FOOTSWITCH", - Package (0x02) - { - "ipe_0_gdsc", - 0x04 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "cam_cc_ipe_0_axi_clk", - 0x02 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "cam_cc_ipe_0_areg_clk", - 0x02 - } - }, - - Package (0x02) - { - "PSTATE_ADJUST", - Package (0x02) - { - Zero, - 0x04 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "cam_cc_ipe_0_clk", - 0x02 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "cam_cc_ipe_0_ahb_clk", - 0x02 - } - }, - - Package (0x02) - { - "FOOTSWITCH", - Package (0x02) - { - "ipe_0_gdsc", - 0x02 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "cam_cc_camnoc_axi_clk", - 0x02 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "cam_cc_cpas_ahb_clk", - 0x02 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "cam_cc_soc_ahb_clk", - 0x02 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_camera_axi_clk", - 0x02 - } - }, - - Package (0x02) - { - "FOOTSWITCH", - Package (0x02) - { - "titan_top_gdsc", - 0x02 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_camera_ahb_clk", - 0x02 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_camera_xo_clk", - 0x02 - } - } - }, - - Package (0x06) - { - "PSTATE_SET", - Zero, - Package (0x03) - { - "PSTATE", - Zero, - Package (0x02) - { - "CLOCK", - Package (0x04) - { - "cam_cc_ipe_0_clk", - 0x03, - 0x23C34600, - 0x03 - } - } - }, - - Package (0x03) - { - "PSTATE", - One, - Package (0x02) - { - "CLOCK", - Package (0x04) - { - "cam_cc_ipe_0_clk", - 0x03, - 0x1C9C3800, - 0x03 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x02, - Package (0x02) - { - "CLOCK", - Package (0x04) - { - "cam_cc_ipe_0_clk", - 0x03, - 0x18148D00, - 0x03 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x03, - Package (0x02) - { - "CLOCK", - Package (0x04) - { - "cam_cc_ipe_0_clk", - 0x03, - Zero, - 0x03 - } - } - } - }, - - Package (0x06) - { - "PSTATE_SET", - One, - Package (0x03) - { - "PSTATE", - Zero, - Package (0x02) - { - "CLOCK", - Package (0x04) - { - "cam_cc_ipe_1_clk", - 0x03, - 0x23C34600, - 0x03 - } - } - }, - - Package (0x03) - { - "PSTATE", - One, - Package (0x02) - { - "CLOCK", - Package (0x04) - { - "cam_cc_ipe_1_clk", - 0x03, - 0x1C9C3800, - 0x03 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x02, - Package (0x02) - { - "CLOCK", - Package (0x04) - { - "cam_cc_ipe_1_clk", - 0x03, - 0x18148D00, - 0x03 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x03, - Package (0x02) - { - "CLOCK", - Package (0x04) - { - "cam_cc_ipe_1_clk", - 0x03, - Zero, - 0x03 - } - } - } - } - }, - - Package (0x05) - { - "COMPONENT", - 0x05, - Package (0x10) - { - "FSTATE", - Zero, - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_camera_xo_clk", - One - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_camera_ahb_clk", - One - } - }, - - Package (0x02) - { - "FOOTSWITCH", - Package (0x02) - { - "titan_top_gdsc", - One - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_camera_axi_clk", - One - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "cam_cc_soc_ahb_clk", - One - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "cam_cc_cpas_ahb_clk", - One - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "cam_cc_camnoc_axi_clk", - One - } - }, - - Package (0x02) - { - "FOOTSWITCH", - Package (0x02) - { - "bps_gdsc", - One - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "cam_cc_bps_ahb_clk", - One - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "cam_cc_bps_clk", - One - } - }, - - Package (0x02) - { - "PSTATE_ADJUST", - Package (0x02) - { - Zero, - 0x02 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "cam_cc_bps_areg_clk", - One - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "cam_cc_bps_axi_clk", - One - } - }, - - Package (0x02) - { - "FOOTSWITCH", - Package (0x02) - { - "bps_gdsc", - 0x03 - } - } - }, - - Package (0x10) - { - "FSTATE", - One, - Package (0x02) - { - "FOOTSWITCH", - Package (0x02) - { - "bps_gdsc", - 0x04 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "cam_cc_bps_axi_clk", - 0x02 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "cam_cc_bps_areg_clk", - 0x02 - } - }, - - Package (0x02) - { - "PSTATE_ADJUST", - Package (0x02) - { - Zero, - 0x04 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "cam_cc_bps_clk", - 0x02 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "cam_cc_bps_ahb_clk", - 0x02 - } - }, - - Package (0x02) - { - "FOOTSWITCH", - Package (0x02) - { - "bps_gdsc", - 0x02 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "cam_cc_camnoc_axi_clk", - 0x02 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "cam_cc_cpas_ahb_clk", - 0x02 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "cam_cc_soc_ahb_clk", - 0x02 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_camera_axi_clk", - 0x02 - } - }, - - Package (0x02) - { - "FOOTSWITCH", - Package (0x02) - { - "titan_top_gdsc", - 0x02 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_camera_ahb_clk", - 0x02 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_camera_xo_clk", - 0x02 - } - } - }, - - Package (0x06) - { - "PSTATE_SET", - Zero, - Package (0x03) - { - "PSTATE", - Zero, - Package (0x02) - { - "CLOCK", - Package (0x04) - { - "cam_cc_bps_clk", - 0x03, - 0x23C34600, - 0x03 - } - } - }, - - Package (0x03) - { - "PSTATE", - One, - Package (0x02) - { - "CLOCK", - Package (0x04) - { - "cam_cc_bps_clk", - 0x03, - 0x1C9C3800, - 0x03 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x02, - Package (0x02) - { - "CLOCK", - Package (0x04) - { - "cam_cc_bps_clk", - 0x03, - 0x18148D00, - 0x03 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x03, - Package (0x02) - { - "CLOCK", - Package (0x04) - { - "cam_cc_bps_clk", - 0x03, - Zero, - 0x03 - } - } - } - } - }, - - Package (0x05) - { - "COMPONENT", - 0x06, - Package (0x0B) - { - "FSTATE", - Zero, - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_camera_xo_clk", - One - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_camera_ahb_clk", - One - } - }, - - Package (0x02) - { - "FOOTSWITCH", - Package (0x02) - { - "titan_top_gdsc", - One - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_camera_axi_clk", - One - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "cam_cc_soc_ahb_clk", - One - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "cam_cc_cpas_ahb_clk", - One - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "cam_cc_camnoc_axi_clk", - One - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "cam_cc_lrme_clk", - One - } - }, - - Package (0x02) - { - "PSTATE_ADJUST", - Package (0x02) - { - Zero, - 0x02 - } - } - }, - - Package (0x0B) - { - "FSTATE", - One, - Package (0x02) - { - "PSTATE_ADJUST", - Package (0x02) - { - Zero, - 0x04 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "cam_cc_lrme_clk", - 0x02 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "cam_cc_camnoc_axi_clk", - 0x02 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "cam_cc_cpas_ahb_clk", - 0x02 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "cam_cc_soc_ahb_clk", - 0x02 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_camera_axi_clk", - 0x02 - } - }, - - Package (0x02) - { - "FOOTSWITCH", - Package (0x02) - { - "titan_top_gdsc", - 0x02 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_camera_ahb_clk", - 0x02 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_camera_xo_clk", - 0x02 - } - } - }, - - Package (0x06) - { - "PSTATE_SET", - Zero, - Package (0x03) - { - "PSTATE", - Zero, - Package (0x02) - { - "CLOCK", - Package (0x04) - { - "cam_cc_lrme_clk", - 0x03, - 0x17D78400, - 0x03 - } - } - }, - - Package (0x03) - { - "PSTATE", - One, - Package (0x02) - { - "CLOCK", - Package (0x04) - { - "cam_cc_lrme_clk", - 0x03, - 0x1312D000, - 0x03 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x02, - Package (0x02) - { - "CLOCK", - Package (0x04) - { - "cam_cc_lrme_clk", - 0x03, - 0x10089D40, - 0x03 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x03, - Package (0x02) - { - "CLOCK", - Package (0x04) - { - "cam_cc_lrme_clk", - 0x03, - Zero, - 0x03 - } - } - } - } - }, - - Package (0x05) - { - "COMPONENT", - 0x07, - Package (0x0B) - { - "FSTATE", - Zero, - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_camera_xo_clk", - One - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_camera_ahb_clk", - One - } - }, - - Package (0x02) - { - "FOOTSWITCH", - Package (0x02) - { - "titan_top_gdsc", - One - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_camera_axi_clk", - One - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "cam_cc_soc_ahb_clk", - One - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "cam_cc_cpas_ahb_clk", - One - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "cam_cc_camnoc_axi_clk", - One - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "cam_cc_fd_core_clk", - One - } - }, - - Package (0x02) - { - "PSTATE_ADJUST", - Package (0x02) - { - Zero, - 0x02 - } - } - }, - - Package (0x0B) - { - "FSTATE", - One, - Package (0x02) - { - "PSTATE_ADJUST", - Package (0x02) - { - Zero, - 0x04 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "cam_cc_fd_core_clk", - 0x02 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "cam_cc_camnoc_axi_clk", - 0x02 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "cam_cc_cpas_ahb_clk", - 0x02 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "cam_cc_soc_ahb_clk", - 0x02 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_camera_axi_clk", - 0x02 - } - }, - - Package (0x02) - { - "FOOTSWITCH", - Package (0x02) - { - "titan_top_gdsc", - 0x02 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_camera_ahb_clk", - 0x02 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_camera_xo_clk", - 0x02 - } - } - }, - - Package (0x06) - { - "PSTATE_SET", - Zero, - Package (0x03) - { - "PSTATE", - Zero, - Package (0x02) - { - "CLOCK", - Package (0x04) - { - "cam_cc_fd_core_clk", - 0x03, - 0x23C34600, - 0x03 - } - } - }, - - Package (0x03) - { - "PSTATE", - One, - Package (0x02) - { - "CLOCK", - Package (0x04) - { - "cam_cc_fd_core_clk", - 0x03, - 0x20113A80, - 0x03 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x02, - Package (0x02) - { - "CLOCK", - Package (0x04) - { - "cam_cc_fd_core_clk", - 0x03, - 0x17D78400, - 0x03 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x03, - Package (0x02) - { - "CLOCK", - Package (0x04) - { - "cam_cc_fd_core_clk", - 0x03, - Zero, - 0x03 - } - } - } - } - } - } - }) - } - - - Include ("cust_touch_resources.asl") - - Device (BAM1) - { - Name (_HID, "QCOM0213") // _HID: Hardware ID - Alias (PSUB, _SUB) - Name (_UID, One) // _UID: Unique ID - Name (_CCA, Zero) // _CCA: Cache Coherency Attribute - Method (_CRS, 0, NotSerialized) // _CRS: Current Resource Settings - { - Name (RBUF, ResourceTemplate () - { - Memory32Fixed (ReadWrite, - 0x01DC4000, // Address Base - 0x00024000, // Address Length - ) - Interrupt (ResourceConsumer, Edge, ActiveHigh, Exclusive, ,, ) - { - 0x00000130, - } - }) - Return (RBUF) /* \_SB_.BAM1._CRS.RBUF */ - } - } - - Device (BAM5) - { - Name (_HID, "QCOM0213") // _HID: Hardware ID - Alias (PSUB, _SUB) - Name (_UID, 0x05) // _UID: Unique ID - Name (_CCA, Zero) // _CCA: Cache Coherency Attribute - Method (_CRS, 0, NotSerialized) // _CRS: Current Resource Settings - { - Name (RBUF, ResourceTemplate () - { - Memory32Fixed (ReadWrite, - 0x17184000, // Address Base - 0x00032000, // Address Length - ) - Interrupt (ResourceConsumer, Edge, ActiveHigh, Exclusive, ,, ) - { - 0x000000C4, - } - }) - Return (RBUF) /* \_SB_.BAM5._CRS.RBUF */ - } - } - - Device (BAM6) - { - Name (_HID, "QCOM0213") // _HID: Hardware ID - Alias (PSUB, _SUB) - Name (_UID, 0x06) // _UID: Unique ID - Name (_CCA, Zero) // _CCA: Cache Coherency Attribute - Method (_CRS, 0, NotSerialized) // _CRS: Current Resource Settings - { - Name (RBUF, ResourceTemplate () - { - Memory32Fixed (ReadWrite, - 0x17204000, // Address Base - 0x00026000, // Address Length - ) - Interrupt (ResourceConsumer, Edge, ActiveHigh, Exclusive, ,, ) - { - 0x00000144, - } - }) - Return (RBUF) /* \_SB_.BAM6._CRS.RBUF */ - } - } - - Device (BAM7) - { - Name (_HID, "QCOM0213") // _HID: Hardware ID - Alias (PSUB, _SUB) - Name (_UID, 0x07) // _UID: Unique ID - Name (_CCA, Zero) // _CCA: Cache Coherency Attribute - Method (_CRS, 0, NotSerialized) // _CRS: Current Resource Settings - { - Name (RBUF, ResourceTemplate () - { - Memory32Fixed (ReadWrite, - 0x08884000, // Address Base - 0x00023000, // Address Length - ) - Interrupt (ResourceConsumer, Edge, ActiveHigh, Exclusive, ,, ) - { - 0x0000009A, - } - }) - Return (RBUF) /* \_SB_.BAM7._CRS.RBUF */ - } - } - - Device (BAMD) - { - Name (_HID, "QCOM0213") // _HID: Hardware ID - Alias (PSUB, _SUB) - Name (_UID, 0x0D) // _UID: Unique ID - Name (_CCA, Zero) // _CCA: Cache Coherency Attribute - Method (_CRS, 0, NotSerialized) // _CRS: Current Resource Settings - { - Name (RBUF, ResourceTemplate () - { - Memory32Fixed (ReadWrite, - 0x0A904000, // Address Base - 0x00017000, // Address Length - ) - Interrupt (ResourceConsumer, Edge, ActiveHigh, Exclusive, ,, ) - { - 0x000000A9, - } - }) - Return (RBUF) /* \_SB_.BAMD._CRS.RBUF */ - } - } - - Device (BAME) - { - Name (_HID, "QCOM0213") // _HID: Hardware ID - Alias (PSUB, _SUB) - Name (_UID, 0x0E) // _UID: Unique ID - Name (_CCA, Zero) // _CCA: Cache Coherency Attribute - Method (_CRS, 0, NotSerialized) // _CRS: Current Resource Settings - { - Name (RBUF, ResourceTemplate () - { - Memory32Fixed (ReadWrite, - 0x06064000, // Address Base - 0x00015000, // Address Length - ) - Interrupt (ResourceConsumer, Edge, ActiveHigh, Exclusive, ,, ) - { - 0x000000C7, - } - }) - Return (RBUF) /* \_SB_.BAME._CRS.RBUF */ - } - } - - Device (BAMF) - { - Name (_HID, "QCOM0213") // _HID: Hardware ID - Alias (PSUB, _SUB) - Name (_UID, 0x0F) // _UID: Unique ID - Name (_CCA, Zero) // _CCA: Cache Coherency Attribute - Method (_CRS, 0, NotSerialized) // _CRS: Current Resource Settings - { - Name (RBUF, ResourceTemplate () - { - Memory32Fixed (ReadWrite, - 0x0A704000, // Address Base - 0x00017000, // Address Length - ) - Interrupt (ResourceConsumer, Level, ActiveHigh, Exclusive, ,, ) - { - 0x000000A4, - } - }) - Return (RBUF) /* \_SB_.BAMF._CRS.RBUF */ - } - } - - Device (UAR7) - { - Name (_HID, "QCOM0236") // _HID: Hardware ID - Alias (PSUB, _SUB) - Name (_UID, 0x07) // _UID: Unique ID - Name (_DEP, Package (0x01) // _DEP: Dependencies - { - PEP0 - }) - Name (_CCA, Zero) // _CCA: Cache Coherency Attribute - Method (_CRS, 0, NotSerialized) // _CRS: Current Resource Settings - { - Name (RBUF, ResourceTemplate () - { - Memory32Fixed (ReadWrite, - 0x00898000, // Address Base - 0x00004000, // Address Length - ) - Interrupt (ResourceConsumer, Level, ActiveHigh, Exclusive, ,, ) - { - 0x0000027F, - } - GpioInt (Edge, ActiveLow, Exclusive, PullDown, 0x0000, - "\\_SB.GIO0", 0x00, ResourceConsumer, , - ) - { // Pin list - 0x0030 - } - }) - Return (RBUF) /* \_SB_.UAR7._CRS.RBUF */ - } - - Method (_STA, 0, NotSerialized) // _STA: Status - { - Return (0x0B) - } - } - - Device (UARD) - { - Name (_HID, "QCOM0236") // _HID: Hardware ID - Alias (PSUB, _SUB) - Name (_UID, 0x0A) // _UID: Unique ID - Name (_DEP, Package (0x01) // _DEP: Dependencies - { - PEP0 - }) - Name (_CCA, Zero) // _CCA: Cache Coherency Attribute - Method (_CRS, 0, NotSerialized) // _CRS: Current Resource Settings - { - Name (RBUF, ResourceTemplate () - { - Memory32Fixed (ReadWrite, - 0x00A84000, // Address Base - 0x00004000, // Address Length - ) - Interrupt (ResourceConsumer, Level, ActiveHigh, Exclusive, ,, ) - { - 0x00000182, - } - GpioInt (Edge, ActiveLow, Exclusive, PullDown, 0x0000, - "\\_SB.GIO0", 0x00, ResourceConsumer, , - ) - { // Pin list - 0x0005 - } - }) - Return (RBUF) /* \_SB_.UARD._CRS.RBUF */ - } - } - - Device (IC15) - { - Name (_HID, "QCOM0220") // _HID: Hardware ID - Alias (PSUB, _SUB) - Name (_UID, 0x0F) // _UID: Unique ID - Name (_DEP, Package (0x02) // _DEP: Dependencies - { - PEP0, - QGP0 - }) - Name (_CCA, Zero) // _CCA: Cache Coherency Attribute - Method (_CRS, 0, NotSerialized) // _CRS: Current Resource Settings - { - Name (RBUF, ResourceTemplate () - { - Memory32Fixed (ReadWrite, - 0x00A98000, // Address Base - 0x00004000, // Address Length - ) - Interrupt (ResourceConsumer, Level, ActiveHigh, Exclusive, ,, ) - { - 0x00000187, - } - }) - Return (RBUF) /* \_SB_.IC15._CRS.RBUF */ - } - } - - Device (SPI9) - { - Name (_HID, "QCOM021E") // _HID: Hardware ID - Alias (PSUB, _SUB) - Name (_UID, 0x09) // _UID: Unique ID - Name (_DEP, Package (0x02) // _DEP: Dependencies - { - PEP0, - QGP1 - }) - Name (_CCA, Zero) // _CCA: Cache Coherency Attribute - Method (_CRS, 0, NotSerialized) // _CRS: Current Resource Settings - { - Name (RBUF, ResourceTemplate () - { - Memory32Fixed (ReadWrite, - 0x00A80000, // Address Base - 0x00004000, // Address Length - ) - Interrupt (ResourceConsumer, Level, ActiveHigh, Exclusive, ,, ) - { - 0x00000181, - } - }) - Return (RBUF) /* \_SB_.SPI9._CRS.RBUF */ - } - } - - Scope (PEP0) - { - Method (BSMD, 0, NotSerialized) - { - Return (BSRC) /* \_SB_.PEP0.BSRC */ - } - - Method (PQMD, 0, NotSerialized) - { - If ((SIDV < 0x00020000)) - { - Return (DFS1) /* \_SB_.PEP0.DFS1 */ - } - Else - { - Return (DFS2) /* \_SB_.PEP0.DFS2 */ - } - } - - Name (BSRC, Package (0x0B) - { - Package (0x04) - { - "DEVICE", - "\\_SB.UAR7", - Package (0x12) - { - "COMPONENT", - Zero, - Package (0x07) - { - "FSTATE", - Zero, - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_qupv3_wrap_0_m_ahb_clk", - One - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_qupv3_wrap_0_s_ahb_clk", - One - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_qupv3_wrap0_s6_clk", - One - } - }, - - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_BLSP_1", - "ICBID_SLAVE_EBI1", - 0x53724E00, - 0x0682 - } - }, - - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_APPSS_PROC", - "ICBID_SLAVE_BLSP_1", - 0x08D24D00, - 0x02FAF080 - } - } - }, - - Package (0x07) - { - "FSTATE", - One, - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_qupv3_wrap_0_m_ahb_clk", - 0x02 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_qupv3_wrap_0_s_ahb_clk", - 0x02 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_qupv3_wrap0_s6_clk", - 0x02 - } - }, - - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_BLSP_1", - "ICBID_SLAVE_EBI1", - Zero, - Zero - } - }, - - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_APPSS_PROC", - "ICBID_SLAVE_BLSP_1", - Zero, - Zero - } - } - }, - - Package (0x06) - { - "PSTATE", - Zero, - Package (0x02) - { - "TLMMGPIO", - Package (0x06) - { - 0x2D, - Zero, - One, - Zero, - One, - Zero - } - }, - - Package (0x02) - { - "TLMMGPIO", - Package (0x06) - { - 0x2E, - Zero, - One, - Zero, - Zero, - Zero - } - }, - - Package (0x02) - { - "TLMMGPIO", - Package (0x06) - { - 0x2F, - Zero, - One, - Zero, - Zero, - Zero - } - }, - - Package (0x02) - { - "TLMMGPIO", - Package (0x06) - { - 0x30, - Zero, - One, - Zero, - One, - Zero - } - } - }, - - Package (0x06) - { - "PSTATE", - One, - Package (0x02) - { - "TLMMGPIO", - Package (0x06) - { - 0x2D, - Zero, - One, - Zero, - One, - Zero - } - }, - - Package (0x02) - { - "TLMMGPIO", - Package (0x06) - { - 0x2E, - Zero, - One, - Zero, - Zero, - Zero - } - }, - - Package (0x02) - { - "TLMMGPIO", - Package (0x06) - { - 0x2F, - Zero, - One, - Zero, - Zero, - Zero - } - }, - - Package (0x02) - { - "TLMMGPIO", - Package (0x06) - { - 0x30, - Zero, - One, - Zero, - One, - Zero - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x02, - Package (0x02) - { - "CLOCK", - Package (0x04) - { - "gcc_qupv3_wrap0_s6_clk", - 0x03, - 0x00708000, - 0x04 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x03, - Package (0x02) - { - "CLOCK", - Package (0x04) - { - "gcc_qupv3_wrap0_s6_clk", - 0x03, - 0x00E10000, - 0x04 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x04, - Package (0x02) - { - "CLOCK", - Package (0x04) - { - "gcc_qupv3_wrap0_s6_clk", - 0x03, - 0x01C20000, - 0x04 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x05, - Package (0x02) - { - "CLOCK", - Package (0x04) - { - "gcc_qupv3_wrap0_s6_clk", - 0x03, - 0x01E84800, - 0x04 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x06, - Package (0x02) - { - "CLOCK", - Package (0x04) - { - "gcc_qupv3_wrap0_s6_clk", - 0x03, - 0x02DC6C00, - 0x04 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x07, - Package (0x02) - { - "CLOCK", - Package (0x04) - { - "gcc_qupv3_wrap0_s6_clk", - 0x03, - 0x03D09000, - 0x04 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x08, - Package (0x02) - { - "CLOCK", - Package (0x04) - { - "gcc_qupv3_wrap0_s6_clk", - 0x03, - 0x04C4B400, - 0x04 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x09, - Package (0x02) - { - "CLOCK", - Package (0x04) - { - "gcc_qupv3_wrap0_s6_clk", - 0x03, - 0x05B8D800, - 0x04 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x0A, - Package (0x02) - { - "CLOCK", - Package (0x04) - { - "gcc_qupv3_wrap0_s6_clk", - 0x03, - 0x061A8000, - 0x04 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x0B, - Package (0x02) - { - "CLOCK", - Package (0x04) - { - "gcc_qupv3_wrap0_s6_clk", - 0x03, - 0x06ACFC00, - 0x04 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x0C, - Package (0x02) - { - "CLOCK", - Package (0x04) - { - "gcc_qupv3_wrap0_s6_clk", - 0x03, - 0x07080000, - 0x04 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x0D, - Package (0x02) - { - "CLOCK", - Package (0x04) - { - "gcc_qupv3_wrap0_s6_clk", - 0x03, - 0x07A12000, - 0x04 - } - } - } - }, - - Package (0x04) - { - "COMPONENT", - One, - Package (0x02) - { - "FSTATE", - Zero - }, - - Package (0x02) - { - "FSTATE", - One - } - } - }, - - Package (0x05) - { - "DEVICE", - 0x02, - "\\_SB.UARD", - Package (0x12) - { - "COMPONENT", - Zero, - Package (0x0A) - { - "FSTATE", - Zero, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_BLSP_2", - "ICBID_SLAVE_EBI1", - 0x53724E00, - 0x0682 - } - }, - - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_APPSS_PROC", - "ICBID_SLAVE_BLSP_2", - 0x08D24D00, - 0x02FAF080 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_qupv3_wrap_1_m_ahb_clk", - One - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_qupv3_wrap_1_s_ahb_clk", - One - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x04) - { - "gcc_qupv3_wrap1_s1_clk", - 0x03, - 0x00708000, - 0x04 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_qupv3_wrap1_s1_clk", - One - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_qupv3_wrap1_core_2x_clk", - One - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_qupv3_wrap1_core_clk", - One - } - } - }, - - Package (0x09) - { - "FSTATE", - One, - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_qupv3_wrap_1_m_ahb_clk", - 0x02 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_qupv3_wrap_1_s_ahb_clk", - 0x02 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_qupv3_wrap1_s1_clk", - 0x02 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_qupv3_wrap1_core_2x_clk", - 0x02 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_qupv3_wrap1_core_clk", - 0x02 - } - }, - - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_BLSP_2", - "ICBID_SLAVE_EBI1", - Zero, - Zero - } - }, - - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_APPSS_PROC", - "ICBID_SLAVE_BLSP_2", - Zero, - Zero - } - } - }, - - Package (0x04) - { - "PSTATE", - Zero, - Package (0x02) - { - "TLMMGPIO", - Package (0x06) - { - 0x05, - Zero, - One, - Zero, - One, - Zero - } - }, - - Package (0x02) - { - "TLMMGPIO", - Package (0x06) - { - 0x04, - Zero, - One, - Zero, - Zero, - Zero - } - } - }, - - Package (0x04) - { - "PSTATE", - One, - Package (0x02) - { - "TLMMGPIO", - Package (0x06) - { - 0x05, - Zero, - One, - Zero, - One, - Zero - } - }, - - Package (0x02) - { - "TLMMGPIO", - Package (0x06) - { - 0x04, - Zero, - One, - Zero, - Zero, - Zero - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x02, - Package (0x02) - { - "CLOCK", - Package (0x04) - { - "gcc_qupv3_wrap1_s1_clk", - 0x03, - 0x00708000, - 0x04 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x03, - Package (0x02) - { - "CLOCK", - Package (0x04) - { - "gcc_qupv3_wrap1_s1_clk", - 0x03, - 0x00E10000, - 0x04 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x04, - Package (0x02) - { - "CLOCK", - Package (0x04) - { - "gcc_qupv3_wrap1_s1_clk", - 0x03, - 0x01C20000, - 0x04 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x05, - Package (0x02) - { - "CLOCK", - Package (0x04) - { - "gcc_qupv3_wrap1_s1_clk", - 0x03, - 0x01E84800, - 0x04 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x06, - Package (0x02) - { - "CLOCK", - Package (0x04) - { - "gcc_qupv3_wrap1_s1_clk", - 0x03, - 0x02DC6C00, - 0x04 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x07, - Package (0x02) - { - "CLOCK", - Package (0x04) - { - "gcc_qupv3_wrap1_s1_clk", - 0x03, - 0x03D09000, - 0x04 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x08, - Package (0x02) - { - "CLOCK", - Package (0x04) - { - "gcc_qupv3_wrap1_s1_clk", - 0x03, - 0x04C4B400, - 0x04 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x09, - Package (0x02) - { - "CLOCK", - Package (0x04) - { - "gcc_qupv3_wrap1_s1_clk", - 0x03, - 0x05B8D800, - 0x04 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x0A, - Package (0x02) - { - "CLOCK", - Package (0x04) - { - "gcc_qupv3_wrap1_s1_clk", - 0x03, - 0x061A8000, - 0x04 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x0B, - Package (0x02) - { - "CLOCK", - Package (0x04) - { - "gcc_qupv3_wrap1_s1_clk", - 0x03, - 0x06ACFC00, - 0x04 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x0C, - Package (0x02) - { - "CLOCK", - Package (0x04) - { - "gcc_qupv3_wrap1_s1_clk", - 0x03, - 0x07080000, - 0x04 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x0D, - Package (0x02) - { - "CLOCK", - Package (0x04) - { - "gcc_qupv3_wrap1_s1_clk", - 0x03, - 0x07A12000, - 0x04 - } - } - } - }, - - Package (0x04) - { - "COMPONENT", - One, - Package (0x02) - { - "FSTATE", - Zero - }, - - Package (0x02) - { - "FSTATE", - One - } - } - }, - - Package (0x07) - { - "DEVICE", - "\\_SB.IC15", - Package (0x03) - { - "COMPONENT", - Zero, - Package (0x02) - { - "FSTATE", - Zero - } - }, - - Package (0x0B) - { - "DSTATE", - Zero, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_BLSP_2", - "ICBID_SLAVE_EBI1", - 0x53724E00, - 0x0682 - } - }, - - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_APPSS_PROC", - "ICBID_SLAVE_BLSP_2", - 0x08F0D180, - 0x02FAF080 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_qupv3_wrap_1_m_ahb_clk", - One - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_qupv3_wrap_1_s_ahb_clk", - One - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x04) - { - "gcc_qupv3_wrap1_s6_clk", - 0x08, - 0x0124F800, - 0x04 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_qupv3_wrap1_core_2x_clk", - One - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_qupv3_wrap1_core_clk", - One - } - }, - - Package (0x02) - { - "TLMMGPIO", - Package (0x06) - { - 0x21, - One, - 0x02, - One, - 0x03, - Zero - } - }, - - Package (0x02) - { - "TLMMGPIO", - Package (0x06) - { - 0x22, - One, - 0x02, - One, - 0x03, - Zero - } - } - }, - - Package (0x02) - { - "DSTATE", - One - }, - - Package (0x02) - { - "DSTATE", - 0x02 - }, - - Package (0x0B) - { - "DSTATE", - 0x03, - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_qupv3_wrap_1_m_ahb_clk", - 0x02 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_qupv3_wrap_1_s_ahb_clk", - 0x02 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_qupv3_wrap1_s6_clk", - 0x02 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_qupv3_wrap1_core_2x_clk", - 0x02 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_qupv3_wrap1_core_clk", - 0x02 - } - }, - - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_BLSP_2", - "ICBID_SLAVE_EBI1", - Zero, - Zero - } - }, - - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_APPSS_PROC", - "ICBID_SLAVE_BLSP_2", - Zero, - Zero - } - }, - - Package (0x02) - { - "TLMMGPIO", - Package (0x06) - { - 0x21, - Zero, - Zero, - Zero, - 0x03, - Zero - } - }, - - Package (0x02) - { - "TLMMGPIO", - Package (0x06) - { - 0x22, - Zero, - Zero, - Zero, - 0x03, - Zero - } - } - } - }, - - Package (0x07) - { - "DEVICE", - "\\_SB.IC16", - Package (0x03) - { - "COMPONENT", - Zero, - Package (0x02) - { - "FSTATE", - Zero - } - }, - - Package (0x0B) - { - "DSTATE", - Zero, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_BLSP_2", - "ICBID_SLAVE_EBI1", - 0x53724E00, - 0x0682 - } - }, - - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_APPSS_PROC", - "ICBID_SLAVE_BLSP_2", - 0x08D24D00, - 0x02FAF080 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_qupv3_wrap_1_m_ahb_clk", - One - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_qupv3_wrap_1_s_ahb_clk", - One - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x04) - { - "gcc_qupv3_wrap1_s7_clk", - 0x08, - 0x0124F800, - 0x04 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_qupv3_wrap1_core_2x_clk", - One - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_qupv3_wrap1_core_clk", - One - } - }, - - Package (0x02) - { - "TLMMGPIO", - Package (0x06) - { - 0x51, - One, - 0x02, - One, - 0x03, - Zero - } - }, - - Package (0x02) - { - "TLMMGPIO", - Package (0x06) - { - 0x52, - One, - 0x02, - One, - 0x03, - Zero - } - } - }, - - Package (0x02) - { - "DSTATE", - One - }, - - Package (0x02) - { - "DSTATE", - 0x02 - }, - - Package (0x0B) - { - "DSTATE", - 0x03, - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_qupv3_wrap_1_m_ahb_clk", - 0x02 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_qupv3_wrap_1_s_ahb_clk", - 0x02 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_qupv3_wrap1_s7_clk", - 0x02 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_qupv3_wrap1_core_2x_clk", - 0x02 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_qupv3_wrap1_core_clk", - 0x02 - } - }, - - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_BLSP_2", - "ICBID_SLAVE_EBI1", - Zero, - Zero - } - }, - - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_APPSS_PROC", - "ICBID_SLAVE_BLSP_2", - Zero, - Zero - } - }, - - Package (0x02) - { - "TLMMGPIO", - Package (0x06) - { - 0x51, - Zero, - Zero, - Zero, - Zero, - Zero - } - }, - - Package (0x02) - { - "TLMMGPIO", - Package (0x06) - { - 0x52, - Zero, - Zero, - Zero, - Zero, - Zero - } - } - } - } - }) - Name (DFS1, Package (0x01) - { - Package (0x07) - { - "DEVICE", - "\\_SB.SPI9", - Package (0x0A) - { - "COMPONENT", - Zero, - Package (0x02) - { - "FSTATE", - Zero - }, - - Package (0x03) - { - "PSTATE", - Zero, - Package (0x02) - { - "CLOCK", - Package (0x04) - { - "gcc_qupv3_wrap1_s0_clk", - 0x03, - 0x0124F800, - 0x03 - } - } - }, - - Package (0x03) - { - "PSTATE", - One, - Package (0x02) - { - "CLOCK", - Package (0x04) - { - "gcc_qupv3_wrap1_s0_clk", - 0x03, - 0x01E84800, - 0x03 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x02, - Package (0x02) - { - "CLOCK", - Package (0x04) - { - "gcc_qupv3_wrap1_s0_clk", - 0x03, - 0x0249F000, - 0x03 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x03, - Package (0x02) - { - "CLOCK", - Package (0x04) - { - "gcc_qupv3_wrap1_s0_clk", - 0x03, - 0x02DC6C00, - 0x03 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x04, - Package (0x02) - { - "CLOCK", - Package (0x04) - { - "gcc_qupv3_wrap1_s0_clk", - 0x03, - 0x03D09000, - 0x03 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x05, - Package (0x02) - { - "CLOCK", - Package (0x04) - { - "gcc_qupv3_wrap1_s0_clk", - 0x03, - 0x05B8D800, - 0x03 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x06, - Package (0x02) - { - "CLOCK", - Package (0x04) - { - "gcc_qupv3_wrap1_s0_clk", - 0x03, - 0x05F5E100, - 0x03 - } - } - } - }, - - Package (0x0D) - { - "DSTATE", - Zero, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_BLSP_2", - "ICBID_SLAVE_EBI1", - 0x53724E00, - 0x0682 - } - }, - - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_APPSS_PROC", - "ICBID_SLAVE_BLSP_2", - 0x08D24D00, - 0x02FAF080 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_qupv3_wrap_1_m_ahb_clk", - One - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_qupv3_wrap_1_s_ahb_clk", - One - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_qupv3_wrap1_s0_clk", - One - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_qupv3_wrap1_core_2x_clk", - One - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_qupv3_wrap1_core_clk", - One - } - }, - - Package (0x02) - { - "TLMMGPIO", - Package (0x06) - { - 0x41, - One, - 0x02, - Zero, - One, - Zero - } - }, - - Package (0x02) - { - "TLMMGPIO", - Package (0x06) - { - 0x42, - One, - 0x02, - One, - One, - Zero - } - }, - - Package (0x02) - { - "TLMMGPIO", - Package (0x06) - { - 0x43, - One, - 0x02, - One, - One, - Zero - } - }, - - Package (0x02) - { - "TLMMGPIO", - Package (0x06) - { - 0x44, - One, - 0x02, - One, - One, - Zero - } - } - }, - - Package (0x02) - { - "DSTATE", - One - }, - - Package (0x02) - { - "DSTATE", - 0x02 - }, - - Package (0x0D) - { - "DSTATE", - 0x03, - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_qupv3_wrap_1_m_ahb_clk", - 0x02 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_qupv3_wrap_1_s_ahb_clk", - 0x02 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_qupv3_wrap1_s0_clk", - 0x02 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_qupv3_wrap1_core_2x_clk", - 0x02 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_qupv3_wrap1_core_clk", - 0x02 - } - }, - - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_BLSP_2", - "ICBID_SLAVE_EBI1", - Zero, - Zero - } - }, - - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_APPSS_PROC", - "ICBID_SLAVE_BLSP_2", - Zero, - Zero - } - }, - - Package (0x02) - { - "TLMMGPIO", - Package (0x06) - { - 0x41, - Zero, - 0x02, - Zero, - One, - Zero - } - }, - - Package (0x02) - { - "TLMMGPIO", - Package (0x06) - { - 0x42, - Zero, - 0x02, - Zero, - One, - Zero - } - }, - - Package (0x02) - { - "TLMMGPIO", - Package (0x06) - { - 0x43, - Zero, - 0x02, - Zero, - One, - Zero - } - }, - - Package (0x02) - { - "TLMMGPIO", - Package (0x06) - { - 0x44, - Zero, - 0x02, - Zero, - One, - Zero - } - } - } - } - }) - Name (DFS2, Package (0x01) - { - Package (0x07) - { - "DEVICE", - "\\_SB.SPI9", - Package (0x0A) - { - "COMPONENT", - Zero, - Package (0x02) - { - "FSTATE", - Zero - }, - - Package (0x03) - { - "PSTATE", - Zero, - Package (0x02) - { - "CLOCK", - Package (0x04) - { - "gcc_qupv3_wrap1_s0_clk", - 0x03, - 0x0124F800, - 0x03 - } - } - }, - - Package (0x03) - { - "PSTATE", - One, - Package (0x02) - { - "CLOCK", - Package (0x04) - { - "gcc_qupv3_wrap1_s0_clk", - 0x03, - 0x01E84800, - 0x03 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x02, - Package (0x02) - { - "CLOCK", - Package (0x04) - { - "gcc_qupv3_wrap1_s0_clk", - 0x03, - 0x02DC6C00, - 0x03 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x03, - Package (0x02) - { - "CLOCK", - Package (0x04) - { - "gcc_qupv3_wrap1_s0_clk", - 0x03, - 0x03D09000, - 0x03 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x04, - Package (0x02) - { - "CLOCK", - Package (0x04) - { - "gcc_qupv3_wrap1_s0_clk", - 0x03, - 0x05B8D800, - 0x03 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x05, - Package (0x02) - { - "CLOCK", - Package (0x04) - { - "gcc_qupv3_wrap1_s0_clk", - 0x03, - 0x05F5E100, - 0x03 - } - } - }, - - Package (0x03) - { - "PSTATE", - 0x06, - Package (0x02) - { - "CLOCK", - Package (0x04) - { - "gcc_qupv3_wrap1_s0_clk", - 0x03, - 0x07270E00, - 0x03 - } - } - } - }, - - Package (0x0D) - { - "DSTATE", - Zero, - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_BLSP_2", - "ICBID_SLAVE_EBI1", - 0x53724E00, - 0x0682 - } - }, - - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_APPSS_PROC", - "ICBID_SLAVE_BLSP_2", - 0x08D24D00, - 0x02FAF080 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_qupv3_wrap_1_m_ahb_clk", - One - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_qupv3_wrap_1_s_ahb_clk", - One - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_qupv3_wrap1_s0_clk", - One - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_qupv3_wrap1_core_2x_clk", - One - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_qupv3_wrap1_core_clk", - One - } - }, - - Package (0x02) - { - "TLMMGPIO", - Package (0x06) - { - 0x41, - One, - 0x02, - Zero, - One, - Zero - } - }, - - Package (0x02) - { - "TLMMGPIO", - Package (0x06) - { - 0x42, - One, - 0x02, - One, - One, - Zero - } - }, - - Package (0x02) - { - "TLMMGPIO", - Package (0x06) - { - 0x43, - One, - 0x02, - One, - One, - Zero - } - }, - - Package (0x02) - { - "TLMMGPIO", - Package (0x06) - { - 0x44, - One, - 0x02, - One, - One, - Zero - } - } - }, - - Package (0x02) - { - "DSTATE", - One - }, - - Package (0x02) - { - "DSTATE", - 0x02 - }, - - Package (0x0D) - { - "DSTATE", - 0x03, - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_qupv3_wrap_1_m_ahb_clk", - 0x02 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_qupv3_wrap_1_s_ahb_clk", - 0x02 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_qupv3_wrap1_s0_clk", - 0x02 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_qupv3_wrap1_core_2x_clk", - 0x02 - } - }, - - Package (0x02) - { - "CLOCK", - Package (0x02) - { - "gcc_qupv3_wrap1_core_clk", - 0x02 - } - }, - - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_BLSP_2", - "ICBID_SLAVE_EBI1", - Zero, - Zero - } - }, - - Package (0x02) - { - "BUSARB", - Package (0x05) - { - 0x03, - "ICBID_MASTER_APPSS_PROC", - "ICBID_SLAVE_BLSP_2", - Zero, - Zero - } - }, - - Package (0x02) - { - "TLMMGPIO", - Package (0x06) - { - 0x41, - Zero, - 0x02, - Zero, - One, - Zero - } - }, - - Package (0x02) - { - "TLMMGPIO", - Package (0x06) - { - 0x42, - Zero, - 0x02, - Zero, - One, - Zero - } - }, - - Package (0x02) - { - "TLMMGPIO", - Package (0x06) - { - 0x43, - Zero, - 0x02, - Zero, - One, - Zero - } - }, - - Package (0x02) - { - "TLMMGPIO", - Package (0x06) - { - 0x44, - Zero, - 0x02, - Zero, - One, - Zero - } - } - } - } - }) - } - - Device (RPEN) - { - Name (_HID, "QCOM026D") // _HID: Hardware ID - Alias (PSUB, _SUB) - } - - Device (PILC) - { - Name (_HID, "QCOM023B") // _HID: Hardware ID - Method (PILX, 0, NotSerialized) - { - Return (PILP) /* \_SB_.PILC.PILP */ - } - - Name (PILP, Package (0x01) - { - "OPCA" - }) - Method (ACPO, 0, NotSerialized) - { - Name (PKGG, Package (0x01) - { - Package (0x03) - { - Zero, - Zero, - ToUUID ("ba58766d-abf2-4402-88d7-90ab243f6c77") /* Unknown UUID */ - } - }) - DerefOf (PKGG [Zero]) [Zero] = RMTB /* \_SB_.RMTB */ - DerefOf (PKGG [Zero]) [One] = RMTX /* \_SB_.RMTX */ - Return (PKGG) /* \_SB_.PILC.ACPO.PKGG */ - } - } - - Device (CDI) - { - Name (_DEP, Package (0x02) // _DEP: Dependencies - { - PILC, - RPEN - }) - Name (_HID, "QCOM026C") // _HID: Hardware ID - Alias (PSUB, _SUB) - Method (_STA, 0, NotSerialized) // _STA: Status - { - Return (0x0F) - } - } - - Device (SCSS) - { - Name (_DEP, Package (0x06) // _DEP: Dependencies - { - PEP0, - PILC, - GLNK, - IPC0, - RPEN, - SSDD - }) - Name (_HID, "QCOM02BE") // _HID: Hardware ID - Alias (PSUB, _SUB) - Method (_CRS, 0, NotSerialized) // _CRS: Current Resource Settings - { - Name (RBUF, ResourceTemplate () - { - Interrupt (ResourceConsumer, Edge, ActiveHigh, Exclusive, ,, ) - { - 0x00000199, - } - }) - Return (RBUF) /* \_SB_.SCSS._CRS.RBUF */ - } - - Method (_STA, 0, NotSerialized) // _STA: Status - { - Return (0x0F) - } - } - - Device (ADSP) - { - Name (_DEP, Package (0x07) // _DEP: Dependencies - { - PEP0, - PILC, - GLNK, - IPC0, - RPEN, - SSDD, - PDSR - }) - Name (_HID, "QCOM023D") // _HID: Hardware ID - Alias (PSUB, _SUB) - Method (WDIR, 0, NotSerialized) - { - Return (Package (0x04) - { - 0x02, - 0x17A0011C, - 0x17A0019C, - 0x17A0021C - }) - } - - Method (_CRS, 0, NotSerialized) // _CRS: Current Resource Settings - { - Name (RBUF, ResourceTemplate () - { - Interrupt (ResourceConsumer, Edge, ActiveHigh, Exclusive, ,, ) - { - 0x000000C2, - } - }) - Return (RBUF) /* \_SB_.ADSP._CRS.RBUF */ - } - - Method (_STA, 0, NotSerialized) // _STA: Status - { - Return (0x0F) - } - - Device (SLM1) - { - Name (_ADR, Zero) // _ADR: Address - Name (_CCA, Zero) // _CCA: Cache Coherency Attribute - Name (_SUB, "RENEGA0E") // _SUB: Subsystem ID - Method (_CRS, 0, NotSerialized) // _CRS: Current Resource Settings - { - Name (RBUF, ResourceTemplate () - { - Memory32Fixed (ReadWrite, - 0x171C0000, // Address Base - 0x0002C000, // Address Length - ) - Interrupt (ResourceConsumer, Level, ActiveHigh, Exclusive, ,, ) - { - 0x000000C3, - } - }) - Return (RBUF) /* \_SB_.ADSP.SLM1._CRS.RBUF */ - } - - Method (CHLD, 0, NotSerialized) - { - Return (Package (0x01) - { - "SLM1\\QCOM023F" - }) - } - - Device (ADCM) - { - Name (_SUB, "RENEGA0E") // _SUB: Subsystem ID - Name (_ADR, Zero) // _ADR: Address - Name (_DEP, Package (0x02) // _DEP: Dependencies - { - MMU0, - IMM0 - }) - Method (CHLD, 0, NotSerialized) - { - Return (Package (0x01) - { - "ADCM\\QCOM0240" - }) - } - - Device (AUDD) - { - Name (_ADR, Zero) // _ADR: Address - Name (_SUB, "RENEGA0E") // _SUB: Subsystem ID - Name (_DEP, Package (0x01) // _DEP: Dependencies - { - SPI9 - }) - Method (_CRS, 0, NotSerialized) // _CRS: Current Resource Settings - { - Name (RBUF, ResourceTemplate () - { - GpioIo (Exclusive, PullNone, 0x0000, 0x0640, IoRestrictionNone, - "\\_SB.GIO0", 0x00, ResourceConsumer, , - ) - { // Pin list - 0x0040 - } - GpioInt (Edge, ActiveHigh, Exclusive, PullDown, 0x0000, - "\\_SB.GIO0", 0x00, ResourceConsumer, , - ) - { // Pin list - 0x0100 - } - SpiSerialBusV2 (0x0000, PolarityLow, FourWireMode, 0x08, - ControllerInitiated, 0x016E3600, ClockPolarityLow, - ClockPhaseFirst, "\\_SB.SPI9", - 0x00, ResourceConsumer, , Exclusive, - RawDataBuffer (0x07) // Vendor Data - { - 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00 - }) - }) - Return (RBUF) /* \_SB_.ADSP.SLM1.ADCM.AUDD._CRS.RBUF */ - } - - Method (CHLD, 0, NotSerialized) - { - Name (CH, Package (0x02) - { - "AUDD\\SAMM0803", - "AUDD\\SAMM0801" - }) - Return (CH) /* \_SB_.ADSP.SLM1.ADCM.AUDD.CHLD.CH__ */ - } - - Device (MBHC) - { - Name (_ADR, Zero) // _ADR: Address - Name (_SUB, "RENEGA0E") // _SUB: Subsystem ID - } - - Device (QCRT) - { - Name (_ADR, One) // _ADR: Address - Name (_SUB, "RENEGA0E") // _SUB: Subsystem ID - } - } - } - } - - Device (SLM2) - { - Name (_ADR, One) // _ADR: Address - Name (_CCA, Zero) // _CCA: Cache Coherency Attribute - Method (_CRS, 0, NotSerialized) // _CRS: Current Resource Settings - { - Name (RBUF, ResourceTemplate () - { - Memory32Fixed (ReadWrite, - 0x17240000, // Address Base - 0x0002C000, // Address Length - ) - Interrupt (ResourceConsumer, Level, ActiveHigh, Exclusive, ,, ) - { - 0x00000143, - } - }) - Return (RBUF) /* \_SB_.ADSP.SLM2._CRS.RBUF */ - } - } - } - - Device (AMSS) - { - Name (_CCA, Zero) // _CCA: Cache Coherency Attribute - Name (_DEP, Package (0x09) // _DEP: Dependencies - { - PEP0, - IPA, - GLNK, - IPC0, - PILC, - RFS0, - RPEN, - SSDD, - PDSR - }) - Name (_HID, "QCOM023E") // _HID: Hardware ID - Name (WLEN, One) - Method (_STA, 0, NotSerialized) // _STA: Status - { - Return (0x0F) - } - - Method (_CRS, 0, NotSerialized) // _CRS: Current Resource Settings - { - Name (RBUF, ResourceTemplate () - { - Interrupt (ResourceConsumer, Edge, ActiveHigh, Exclusive, ,, ) - { - 0x0000012A, - } - }) - Return (RBUF) /* \_SB_.AMSS._CRS.RBUF */ - } - - Method (WDIR, 0, NotSerialized) - { - Return (Package (0x04) - { - Zero, - 0x17A0013C, - 0x17A001BC, - 0x17A002BC - }) - } - - Device (QWLN) - { - Name (_ADR, Zero) // _ADR: Address - Name (_DEP, Package (0x02) // _DEP: Dependencies - { - PEP0, - MMU0 - }) - Name (_PRW, Package (0x02) // _PRW: Power Resources for Wake - { - Zero, - Zero - }) - Name (_S0W, 0x02) // _S0W: S0 Device Wake State - Name (_S4W, 0x02) // _S4W: S4 Device Wake State - Name (_PRR, Package (0x01) // _PRR: Power Resource for Reset - { - WRST - }) - Method (_CRS, 0, NotSerialized) // _CRS: Current Resource Settings - { - Name (RBUF, ResourceTemplate () - { - Memory32Fixed (ReadWrite, - 0x18800000, // Address Base - 0x00800000, // Address Length - ) - Memory32Fixed (ReadWrite, - 0x0C250000, // Address Base - 0x00000010, // Address Length - ) - Memory32Fixed (ReadWrite, - 0x8E300000, // Address Base - 0x00100000, // Address Length - ) - Interrupt (ResourceConsumer, Level, ActiveHigh, Exclusive, ,, ) - { - 0x000001BE, - } - Interrupt (ResourceConsumer, Level, ActiveHigh, Exclusive, ,, ) - { - 0x000001BF, - } - Interrupt (ResourceConsumer, Level, ActiveHigh, ExclusiveAndWake, ,, ) - { - 0x000001C0, - } - Interrupt (ResourceConsumer, Level, ActiveHigh, Exclusive, ,, ) - { - 0x000001C1, - } - Interrupt (ResourceConsumer, Level, ActiveHigh, Exclusive, ,, ) - { - 0x000001C2, - } - Interrupt (ResourceConsumer, Level, ActiveHigh, Exclusive, ,, ) - { - 0x000001C3, - } - Interrupt (ResourceConsumer, Level, ActiveHigh, Exclusive, ,, ) - { - 0x000001C4, - } - Interrupt (ResourceConsumer, Level, ActiveHigh, Exclusive, ,, ) - { - 0x000001C5, - } - Interrupt (ResourceConsumer, Level, ActiveHigh, Exclusive, ,, ) - { - 0x000001C6, - } - Interrupt (ResourceConsumer, Level, ActiveHigh, Exclusive, ,, ) - { - 0x000001C7, - } - Interrupt (ResourceConsumer, Level, ActiveHigh, Exclusive, ,, ) - { - 0x000001C8, - } - Interrupt (ResourceConsumer, Level, ActiveHigh, Exclusive, ,, ) - { - 0x000001C9, - } - }) - Return (RBUF) /* \_SB_.AMSS.QWLN._CRS.RBUF */ - } - - Method (WMSA, 0, NotSerialized) - { - Return (Package (0x01) - { - 0x00100000 - }) - } - - OperationRegion (WOPR, 0x80, Zero, 0x10) - Field (WOPR, DWordAcc, NoLock, Preserve) - { - Offset (0x04), - WTRG, 32 - } - - PowerResource (WRST, 0x05, 0x0000) - { - Method (_ON, 0, NotSerialized) // _ON_: Power On - { - } - - Method (_OFF, 0, NotSerialized) // _OFF: Power Off - { - } - - Method (_STA, 0, NotSerialized) // _STA: Status - { - Return (0x0F) - } - - Method (_RST, 0, NotSerialized) // _RST: Device Reset - { - WTRG = 0xABCD - } - } - } - - Scope (\_SB) - { - Device (COEX) - { - Name (_HID, "QCOM0295") // _HID: Hardware ID - Alias (PSUB, _SUB) - } - - Device (WSAR) - { - Name (_HID, "SAM0609") // _HID: Hardware ID - Name (_UID, Zero) // _UID: Unique ID - Name (_SUB, "RENEGA0E") // _SUB: Subsystem ID - Name (_DEP, Package (0x01) // _DEP: Dependencies - { - ^AMSS.QWLN - }) - Method (_STA, 0, NotSerialized) // _STA: Status - { - Return (0x0F) - } - } - } - } - - Device (QSM) - { - Name (_HID, "QCOM02B9") // _HID: Hardware ID - Alias (PSUB, _SUB) - Name (_DEP, Package (0x04) // _DEP: Dependencies - { - GLNK, - IPC0, - PILC, - RPEN - }) - Method (_CRS, 0, NotSerialized) // _CRS: Current Resource Settings - { - Name (RBUF, ResourceTemplate () - { - Memory32Fixed (ReadWrite, - 0x98F00000, // Address Base - 0x00600000, // Address Length - ) - }) - Return (RBUF) /* \_SB_.QSM_._CRS.RBUF */ - } - - Method (_STA, 0, NotSerialized) // _STA: Status - { - Return (0x0F) - } - } - - Device (SSDD) - { - Name (_HID, "QCOM02D1") // _HID: Hardware ID - Alias (PSUB, _SUB) - Name (_DEP, Package (0x02) // _DEP: Dependencies - { - GLNK, - TFTP - }) - } - - Device (PDSR) - { - Name (_HID, "QCOM02CE") // _HID: Hardware ID - Alias (PSUB, _SUB) - Name (_DEP, Package (0x03) // _DEP: Dependencies - { - PEP0, - GLNK, - IPC0 - }) - } - - Device (CDSP) - { - Name (_DEP, Package (0x07) // _DEP: Dependencies - { - PEP0, - PILC, - GLNK, - IPC0, - RPEN, - SSDD, - PDSR - }) - Name (_HID, "QCOM02F7") // _HID: Hardware ID - Alias (PSUB, _SUB) - Method (_CRS, 0, NotSerialized) // _CRS: Current Resource Settings - { - Name (RBUF, ResourceTemplate () - { - Interrupt (ResourceConsumer, Edge, ActiveHigh, Exclusive, ,, ) - { - 0x00000262, - } - }) - Return (RBUF) /* \_SB_.CDSP._CRS.RBUF */ - } - } - - Device (TFTP) - { - Name (_HID, "QCOM02F6") // _HID: Hardware ID - Alias (PSUB, _SUB) - Name (_DEP, Package (0x01) // _DEP: Dependencies - { - IPC0 - }) - } - - Scope (PILC) - { - Method (_SUB, 0, NotSerialized) // _SUB: Subsystem ID - { - If ((SOID == 0x0155)) - { - Return ("CLSA0850") - } - Else - { - Return (PSUB) /* \_SB_.PSUB */ - } - } - } - - Scope (AMSS) - { - Method (_SUB, 0, NotSerialized) // _SUB: Subsystem ID - { - If ((SOID == 0x0155)) - { - Return ("CLSA0850") - } - Else - { - Return (PSUB) /* \_SB_.PSUB */ - } - } - } - - Device (LLC) - { - Name (_DEP, Package (0x01) // _DEP: Dependencies - { - PEP0 - }) - Name (_HID, "QCOM02F8") // _HID: Hardware ID - Alias (PSUB, _SUB) - Method (_CRS, 0, NotSerialized) // _CRS: Current Resource Settings - { - Return (ResourceTemplate () - { - Memory32Fixed (ReadWrite, - 0x01300000, // Address Base - 0x00028000, // Address Length - ) - }) - } - } - - Device (MMU0) - { - Name (_HID, "QCOM0212") // _HID: Hardware ID - Alias (PSUB, _SUB) - Name (_UID, Zero) // _UID: Unique ID - Name (_DEP, Package (0x01) // _DEP: Dependencies - { - MMU1 - }) - Method (_CRS, 0, NotSerialized) // _CRS: Current Resource Settings - { - Return (ResourceTemplate () - { - Memory32Fixed (ReadWrite, - 0x15000000, // Address Base - 0x0007FFB8, // Address Length - ) - Memory32Fixed (ReadWrite, - 0x01F46000, // Address Base - 0x00000004, // Address Length - ) - Interrupt (ResourceConsumer, Edge, ActiveHigh, Exclusive, ,, ) - { - 0x00000080, - } - Interrupt (ResourceConsumer, Edge, ActiveHigh, Exclusive, ,, ) - { - 0x00000081, - } - Interrupt (ResourceConsumer, Edge, ActiveHigh, Exclusive, ,, ) - { - 0x00000082, - } - Interrupt (ResourceConsumer, Edge, ActiveHigh, Exclusive, ,, ) - { - 0x00000083, - } - Interrupt (ResourceConsumer, Edge, ActiveHigh, Exclusive, ,, ) - { - 0x00000084, - } - Interrupt (ResourceConsumer, Edge, ActiveHigh, Exclusive, ,, ) - { - 0x00000085, - } - Interrupt (ResourceConsumer, Edge, ActiveHigh, Exclusive, ,, ) - { - 0x00000086, - } - Interrupt (ResourceConsumer, Edge, ActiveHigh, Exclusive, ,, ) - { - 0x00000087, - } - Interrupt (ResourceConsumer, Edge, ActiveHigh, Exclusive, ,, ) - { - 0x00000088, - } - Interrupt (ResourceConsumer, Edge, ActiveHigh, Exclusive, ,, ) - { - 0x00000089, - } - Interrupt (ResourceConsumer, Edge, ActiveHigh, Exclusive, ,, ) - { - 0x0000008A, - } - Interrupt (ResourceConsumer, Edge, ActiveHigh, Exclusive, ,, ) - { - 0x0000008B, - } - Interrupt (ResourceConsumer, Edge, ActiveHigh, Exclusive, ,, ) - { - 0x0000008C, - } - Interrupt (ResourceConsumer, Edge, ActiveHigh, Exclusive, ,, ) - { - 0x0000008D, - } - Interrupt (ResourceConsumer, Edge, ActiveHigh, Exclusive, ,, ) - { - 0x0000008E, - } - Interrupt (ResourceConsumer, Edge, ActiveHigh, Exclusive, ,, ) - { - 0x0000008F, - } - Interrupt (ResourceConsumer, Edge, ActiveHigh, Exclusive, ,, ) - { - 0x00000090, - } - Interrupt (ResourceConsumer, Edge, ActiveHigh, Exclusive, ,, ) - { - 0x00000091, - } - Interrupt (ResourceConsumer, Edge, ActiveHigh, Exclusive, ,, ) - { - 0x00000092, - } - Interrupt (ResourceConsumer, Edge, ActiveHigh, Exclusive, ,, ) - { - 0x00000093, - } - Interrupt (ResourceConsumer, Edge, ActiveHigh, Exclusive, ,, ) - { - 0x00000094, - } - Interrupt (ResourceConsumer, Edge, ActiveHigh, Exclusive, ,, ) - { - 0x00000095, - } - Interrupt (ResourceConsumer, Edge, ActiveHigh, Exclusive, ,, ) - { - 0x00000096, - } - Interrupt (ResourceConsumer, Edge, ActiveHigh, Exclusive, ,, ) - { - 0x000000D5, - } - Interrupt (ResourceConsumer, Edge, ActiveHigh, Exclusive, ,, ) - { - 0x000000D6, - } - Interrupt (ResourceConsumer, Edge, ActiveHigh, Exclusive, ,, ) - { - 0x000000D7, - } - Interrupt (ResourceConsumer, Edge, ActiveHigh, Exclusive, ,, ) - { - 0x000000D8, - } - Interrupt (ResourceConsumer, Edge, ActiveHigh, Exclusive, ,, ) - { - 0x000000D9, - } - Interrupt (ResourceConsumer, Edge, ActiveHigh, Exclusive, ,, ) - { - 0x000000DA, - } - Interrupt (ResourceConsumer, Edge, ActiveHigh, Exclusive, ,, ) - { - 0x000000DB, - } - Interrupt (ResourceConsumer, Edge, ActiveHigh, Exclusive, ,, ) - { - 0x000000DC, - } - Interrupt (ResourceConsumer, Edge, ActiveHigh, Exclusive, ,, ) - { - 0x000000DD, - } - Interrupt (ResourceConsumer, Edge, ActiveHigh, Exclusive, ,, ) - { - 0x000000DE, - } - Interrupt (ResourceConsumer, Edge, ActiveHigh, Exclusive, ,, ) - { - 0x000000DF, - } - Interrupt (ResourceConsumer, Edge, ActiveHigh, Exclusive, ,, ) - { - 0x000000E0, - } - Interrupt (ResourceConsumer, Edge, ActiveHigh, Exclusive, ,, ) - { - 0x0000015B, - } - Interrupt (ResourceConsumer, Edge, ActiveHigh, Exclusive, ,, ) - { - 0x0000015C, - } - Interrupt (ResourceConsumer, Edge, ActiveHigh, Exclusive, ,, ) - { - 0x0000015D, - } - Interrupt (ResourceConsumer, Edge, ActiveHigh, Exclusive, ,, ) - { - 0x0000015E, - } - Interrupt (ResourceConsumer, Edge, ActiveHigh, Exclusive, ,, ) - { - 0x0000015F, - } - Interrupt (ResourceConsumer, Edge, ActiveHigh, Exclusive, ,, ) - { - 0x00000160, - } - Interrupt (ResourceConsumer, Edge, ActiveHigh, Exclusive, ,, ) - { - 0x00000161, - } - Interrupt (ResourceConsumer, Edge, ActiveHigh, Exclusive, ,, ) - { - 0x00000162, - } - }) - } - } - - Device (MMU1) - { - Name (_HID, "QCOM0212") // _HID: Hardware ID - Alias (PSUB, _SUB) - Name (_UID, One) // _UID: Unique ID - Name (_DEP, Package (0x01) // _DEP: Dependencies - { - PEP0 - }) - Method (_CRS, 0, NotSerialized) // _CRS: Current Resource Settings - { - Return (ResourceTemplate () - { - Memory32Fixed (ReadWrite, - 0x05040000, // Address Base - 0x00010000, // Address Length - ) - Interrupt (ResourceConsumer, Edge, ActiveHigh, Exclusive, ,, ) - { - 0x0000018C, - } - Interrupt (ResourceConsumer, Edge, ActiveHigh, Exclusive, ,, ) - { - 0x0000018D, - } - Interrupt (ResourceConsumer, Edge, ActiveHigh, Exclusive, ,, ) - { - 0x0000018E, - } - Interrupt (ResourceConsumer, Edge, ActiveHigh, Exclusive, ,, ) - { - 0x0000018F, - } - Interrupt (ResourceConsumer, Edge, ActiveHigh, Exclusive, ,, ) - { - 0x00000190, - } - Interrupt (ResourceConsumer, Edge, ActiveHigh, Exclusive, ,, ) - { - 0x00000191, - } - Interrupt (ResourceConsumer, Edge, ActiveHigh, Exclusive, ,, ) - { - 0x00000192, - } - Interrupt (ResourceConsumer, Edge, ActiveHigh, Exclusive, ,, ) - { - 0x00000193, - } - }) - } - } - - Device (IMM0) - { - Name (_HID, "QCOM030B") // _HID: Hardware ID - Alias (PSUB, _SUB) - Name (_UID, Zero) // _UID: Unique ID - } - - Device (IMM1) - { - Name (_HID, "QCOM030B") // _HID: Hardware ID - Alias (PSUB, _SUB) - Name (_UID, One) // _UID: Unique ID - } - - Device (GPU0) - { - Name (_HID, "QCOM027E") // _HID: Hardware ID - Alias (PSUB, _SUB) - Name (_CID, "ACPIQCOM027E") // _CID: Compatible ID - Name (_UID, Zero) // _UID: Unique ID - Name (_HRV, 0x7C) // _HRV: Hardware Revision - Device (MON0) - { - Method (_ADR, 0, NotSerialized) // _ADR: Address - { - Return (Zero) - } - } - - Name (_DEP, Package (0x0A) // _DEP: Dependencies - { - MMU0, - MMU1, - IMM0, - IMM1, - PEP0, - PMIC, - PILC, - RPEN, - TREE, - SCM0 - }) - Method (_CRS, 0, NotSerialized) // _CRS: Current Resource Settings - { - Name (RBUF, ResourceTemplate () - { - Memory32Fixed (ReadWrite, - 0x0AE00000, // Address Base - 0x00140000, // Address Length - ) - Memory32Fixed (ReadWrite, - 0x088E0000, // Address Base - 0x000F4000, // Address Length - ) - Interrupt (ResourceConsumer, Level, ActiveHigh, Exclusive, ,, ) - { - 0x00000073, - } - Memory32Fixed (ReadWrite, - 0x05000000, // Address Base - 0x0003F010, // Address Length - ) - Memory32Fixed (ReadWrite, - 0x05060000, // Address Base - 0x0003F000, // Address Length - ) - Interrupt (ResourceConsumer, Level, ActiveHigh, Exclusive, ,, ) - { - 0x0000014C, - } - Memory32Fixed (ReadWrite, - 0x0B280000, // Address Base - 0x0000FFFF, // Address Length - ) - Memory32Fixed (ReadWrite, - 0x0B480000, // Address Base - 0x00010000, // Address Length - ) - Memory32Fixed (ReadWrite, - 0x05090000, // Address Base - 0x00009000, // Address Length - ) - Memory32Fixed (ReadWrite, - 0x0C200000, // Address Base - 0x0000FFFF, // Address Length - ) - Memory32Fixed (ReadWrite, - 0x0AA00000, // Address Base - 0x00200000, // Address Length - ) - Interrupt (ResourceConsumer, Level, ActiveHigh, Exclusive, ,, ) - { - 0x000000CE, - } - Interrupt (ResourceConsumer, Level, ActiveHigh, Exclusive, ,, ) - { - 0x000000CF, - } - GpioIo (Shared, PullUp, 0x0000, 0x0000, IoRestrictionNone, - "\\_SB.GIO0", 0x00, ResourceConsumer, , - ) - { // Pin list - 0x0060 - } - }) - Return (RBUF) /* \_SB_.GPU0._CRS.RBUF */ - } - - Method (RESI, 0, NotSerialized) - { - Name (RINF, Package (0x10) - { - 0x03, - Zero, - Package (0x03) - { - "RESOURCE", - "MDP_REGS", - "DISPLAY" - }, - - Package (0x03) - { - "RESOURCE", - "DP_PHY_REGS", - "DISPLAY" - }, - - Package (0x03) - { - "RESOURCE", - "VSYNC_INTERRUPT", - "DISPLAY" - }, - - Package (0x03) - { - "RESOURCE", - "GFX_REGS", - "GRAPHICS" - }, - - Package (0x03) - { - "RESOURCE", - "GFX_REG_CONT", - "GRAPHICS" - }, - - Package (0x03) - { - "RESOURCE", - "GFX_INTERRUPT", - "GRAPHICS" - }, - - Package (0x03) - { - "RESOURCE", - "GPU_PDC_SEQ_MEM", - "GRAPHICS" - }, - - Package (0x03) - { - "RESOURCE", - "GPU_PDC_REGS", - "GRAPHICS" - }, - - Package (0x03) - { - "RESOURCE", - "GPU_CC", - "GRAPHICS" - }, - - Package (0x03) - { - "RESOURCE", - "GPU_RPMH_CPRF", - "GRAPHICS" - }, - - Package (0x03) - { - "RESOURCE", - "VIDEO_REGS", - "VIDEO" - }, - - Package (0x03) - { - "RESOURCE", - "VIDC_INTERRUPT", - "VIDEO" - }, - - Package (0x03) - { - "RESOURCE", - "VIDC_WD_INTERRUPT", - "VIDEO" - }, - - Package (0x03) - { - "RESOURCE", - "DSI_PANEL_RESET", - "DISPLAY" - } - }) - Return (RINF) /* \_SB_.GPU0.RESI.RINF */ - } - - Method (ENGS, 0, NotSerialized) - { - Name (EBUF, Package (0x06) - { - 0x03, - 0x02, - 0x003B0014, - Package (0x07) - { - "ENGINES", - 0x05, - Package (0x0A) - { - "ENGINE", - "GRAPHICS", - "Adreno6X", - One, - 0x02, - Zero, - Package (0x0B) - { - "MMUINFO", - "Miniport", - "ArmSmmuV2", - 0x02, - 0x31, - "V8L", - "SMMUID", - ToUUID ("9833c712-3292-4ffb-b0f4-2bd20e1f7f66") /* Unknown UUID */, - "SMMUINTERFACEID", - Buffer (0x10) - { - /* 0000 */ 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, // ........ - /* 0008 */ 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00 // ........ - }, - - Package (0x0E) - { - "PAGETABLEUSAGE", - 0x04, - "GraphicsGlobalPT", - Zero, - 0x03030000, - "GraphicsPerProcessPT", - Zero, - 0x03030000, - "GraphicsSecurePT", - One, - 0x030A0000, - "GraphicsGmuPT", - 0x03, - 0x03030002 - } - }, - - Package (0x04) - { - "PERF_CONTROLS", - Package (0x01) - { - One - }, - - Package (0x02) - { - 0x03, - Package (0x02) - { - "LimitForPassiveCooling", - One - } - }, - - Package (0x02) - { - 0x04, - Package (0x02) - { - "LimitForPassiveCooling", - One - } - } - }, - - Package (0x02) - { - "THERMAL_DOMAINS", - "GPU" - }, - - Package (0x05) - { - "PROPERTIES", - 0x03, - Package (0x02) - { - "GmemBaseAddr", - Zero - }, - - Package (0x02) - { - "GmemSize", - 0x00100000 - }, - - Package (0x02) - { - "SMMUCount", - One - } - } - }, - - Package (0x09) - { - "ENGINE", - "MDPBLT", - "MDP5.x", - 0x02, - One, - Zero, - Package (0x02) - { - "SHAREDSMMU", - "ROTATOR" - }, - - Package (0x03) - { - "PERF_CONTROLS", - Package (0x02) - { - 0x02, - Package (0x02) - { - "LimitForPassiveCooling", - One - } - }, - - Package (0x02) - { - 0x03, - Package (0x02) - { - "LimitForPassiveCooling", - One - } - } - }, - - Package (0x02) - { - "THERMAL_DOMAINS", - "GPU" - } - }, - - Package (0x09) - { - "ENGINE", - "ROTATOR", - "MDP5.x", - 0x03, - One, - Zero, - Package (0x0B) - { - "MMUINFO", - "Miniport", - "ArmSmmuV2", - 0x02, - 0x20, - "V7S", - "SMMUID", - ToUUID ("36079ae4-78e8-452d-af50-0cff78b2f1ca") /* Unknown UUID */, - "SMMUINTERFACEID", - Buffer (0x10) - { - /* 0000 */ 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, // ........ - /* 0008 */ 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00 // ........ - }, - - Package (0x04) - { - "PAGETABLEUSAGE", - 0x02, - "MdpNonSecurePT", - "MdpSecurePT" - } - }, - - Package (0x03) - { - "PERF_CONTROLS", - Package (0x02) - { - 0x02, - Package (0x02) - { - "LimitForPassiveCooling", - One - } - }, - - Package (0x02) - { - 0x03, - Package (0x02) - { - "LimitForPassiveCooling", - One - } - } - }, - - Package (0x02) - { - "THERMAL_DOMAINS", - "GPU" - } - }, - - Package (0x0A) - { - "ENGINE", - "VIDEO", - "Venus", - 0x04, - One, - Zero, - Package (0x0B) - { - "MMUINFO", - "Engine", - "ArmSmmuV2", - 0x02, - 0x20, - "V7S", - "SMMUID", - ToUUID ("665e0f8e-add3-49d1-91bc-5540c5f57fba") /* Unknown UUID */, - "SMMUINTERFACEID", - ToUUID ("1c3fc0e8-0b11-4ee0-be89-3e21420a865f") /* Unknown UUID */, - Package (0x07) - { - "PAGETABLEUSAGE", - 0x05, - "VideoNonSecurePT", - "VideoSecurePT1", - "VideoSecurePT2", - "VideoSecurePT3", - "VideoSecurePT4" - } - }, - - Package (0x03) - { - "PERF_CONTROLS", - Package (0x01) - { - 0x02 - }, - - Package (0x01) - { - 0x03 - } - }, - - Package (0x01) - { - "THERMAL_DOMAINS" - }, - - Package (0x03) - { - "PROPERTIES", - One, - Package (0x02) - { - "DecMaxFps", - 0x3C - } - } - }, - - Package (0x08) - { - "ENGINE", - "VIDEO_ENCODER", - "Venus", - 0x06, - One, - Zero, - Package (0x03) - { - "PERF_CONTROLS", - Package (0x01) - { - 0x02 - }, - - Package (0x01) - { - 0x03 - } - }, - - Package (0x01) - { - "THERMAL_DOMAINS" - } - } - }, - - Package (0x07) - { - "DISPLAYS", - "MDP5.x", - 0x02, - Package (0x07) - { - "DISPLAY", - "INTERNAL1", - Zero, - One, - Zero, - Package (0x04) - { - "PERF_CONTROLS", - Package (0x01) - { - 0x02 - }, - - Package (0x01) - { - 0x03 - }, - - Package (0x01) - { - 0x04 - } - }, - - Package (0x01) - { - "THERMAL_DOMAINS" - } - }, - - Package (0x07) - { - "DISPLAY", - "INTERNAL2", - 0x07, - One, - Zero, - Package (0x04) - { - "PERF_CONTROLS", - Package (0x01) - { - 0x02 - }, - - Package (0x01) - { - 0x03 - }, - - Package (0x01) - { - 0x04 - } - }, - - Package (0x01) - { - "THERMAL_DOMAINS" - } - }, - - Package (0x02) - { - "RESOURCES", - Package (0x0B) - { - "MMUINFO", - "Miniport", - "ArmSmmuV2", - 0x02, - 0x20, - "V7S", - "SMMUID", - ToUUID ("36079ae4-78e8-452d-af50-0cff78b2f1ca") /* Unknown UUID */, - "SMMUINTERFACEID", - Buffer (0x10) - { - /* 0000 */ 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, // ........ - /* 0008 */ 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00 // ........ - }, - - Package (0x04) - { - "PAGETABLEUSAGE", - 0x02, - "MdpNonSecurePT", - "MdpSecurePT" - } - } - }, - - Package (0x0F) - { - "PRIMARY_SOURCE_MODES", - 0x0D, - Package (0x02) - { - 0x0280, - 0x01E0 - }, - - Package (0x02) - { - 0x0320, - 0x0258 - }, - - Package (0x02) - { - 0x0500, - 0x02D0 - }, - - Package (0x02) - { - 0x0400, - 0x0300 - }, - - Package (0x02) - { - 0x0480, - 0x0300 - }, - - Package (0x02) - { - 0x0500, - 0x0300 - }, - - Package (0x02) - { - 0x0550, - 0x0300 - }, - - Package (0x02) - { - 0x0556, - 0x0300 - }, - - Package (0x02) - { - 0x0640, - 0x0384 - }, - - Package (0x02) - { - 0x0500, - 0x0400 - }, - - Package (0x02) - { - 0x0780, - 0x0438 - }, - - Package (0x02) - { - 0x0780, - 0x04B0 - }, - - Package (0x02) - { - 0x0780, - 0x0500 - } - } - }, - - Package (0x0F) - { - "PAGETABLES", - 0x0D, - Package (0x07) - { - "GraphicsGlobalPT", - One, - Zero, - One, - One, - 0x0000008000000000, - 0x0000000800000000 - }, - - Package (0x07) - { - "GraphicsPerProcessPT", - Zero, - Zero, - Zero, - Zero, - 0x00400000, - 0x0000007FFFC00000 - }, - - Package (0x07) - { - "GraphicsSecurePT", - Zero, - One, - Zero, - One, - 0x0000008800000000, - 0xC0000000 - }, - - Package (0x07) - { - "GraphicsGmuPT", - Zero, - Zero, - Zero, - Zero, - 0x60000000, - 0x20000000 - }, - - Package (0x07) - { - "MdpNonSecurePT", - Zero, - Zero, - Zero, - Zero, - 0x80100000, - 0x7FF00000 - }, - - Package (0x07) - { - "MdpSecurePT", - Zero, - One, - Zero, - One, - 0x80000000, - 0x80000000 - }, - - Package (0x09) - { - "VideoNonSecurePT", - Zero, - Zero, - Zero, - One, - 0x00100000, - 0xBFF00000, - 0x1B, - Zero - }, - - Package (0x09) - { - "VideoSecurePT1", - Zero, - One, - Zero, - One, - 0xC0000000, - 0x10000000, - 0x09, - One - }, - - Package (0x09) - { - "VideoSecurePT2", - Zero, - One, - Zero, - One, - 0xD0000000, - 0x10000000, - 0x07, - 0x02 - }, - - Package (0x09) - { - "VideoSecurePT3", - Zero, - One, - Zero, - One, - 0xE0000000, - 0x10000000, - 0x02, - 0x03 - }, - - Package (0x09) - { - "VideoSecurePT4", - Zero, - One, - Zero, - One, - 0xF0000000, - 0x10000000, - 0x2A, - 0x04 - }, - - Package (0x07) - { - "CryptoNonSecurePT", - Zero, - Zero, - Zero, - Zero, - 0x00100000, - 0x7FF00000 - }, - - Package (0x07) - { - "CryptoSecurePT", - Zero, - One, - Zero, - One, - 0x80000000, - 0x80000000 - } - } - }) - Return (EBUF) /* \_SB_.GPU0.ENGS.EBUF */ - } - - Method (TMDT, 0, NotSerialized) - { - Name (RBUF, Package (0x03) - { - One, - Zero, - Package (0x03) - { - "THERMAL_DOMAINS", - One, - Package (0x03) - { - "GPU", - "GPU0", - Package (0x03) - { - "GRAPHICS", - "MDPBLT", - "ROTATOR" - } - } - } - }) - Return (RBUF) /* \_SB_.GPU0.TMDT.RBUF */ - } - - Method (PMCL, 0, NotSerialized) - { - Name (RBUF, Package (0x0D) - { - 0x03, - One, - 0x0A, - Package (0x0F) - { - "COMPONENT", - Zero, - ToUUID ("2c135991-4391-4633-90b1-fa96f2e2cc04") /* Unknown UUID */, - "PRIMDISPLAY_POWER_STATES", - "HW_BLOCK_MDP", - Package (0x02) - { - "DISPLAY", - "INTERNAL1" - }, - - 0x02, - 0x05, - Package (0x06) - { - "FSTATE", - Zero, - "ALL_ON", - Zero, - Zero, - 0x02 - }, - - Package (0x06) - { - "FSTATE", - One, - "PWR_OFF", - One, - One, - One - }, - - Package (0x0A) - { - "PSTATE_SET", - Zero, - "INTERNAL1_RESET", - "*", - "RESET", - 0x02, - Zero, - Zero, - Package (0x04) - { - "PSTATE", - Zero, - One, - 0x02 - }, - - Package (0x04) - { - "PSTATE", - One, - Zero, - 0x02 - } - }, - - Package (0x0A) - { - "PSTATE_SET", - One, - "INTERNAL1_FOOTSWITCH_OVERRIDE", - "*", - "FOOTSWITCH_OVERRIDE", - 0x02, - 0xFFFFFFFF, - Zero, - Package (0x04) - { - "PSTATE", - Zero, - One, - 0x02 - }, - - Package (0x04) - { - "PSTATE", - One, - Zero, - 0x02 - } - }, - - Package (0x0D) - { - "PSTATE_SET", - 0x02, - "INTERNAL1_SCAN_CONTROL", - "*", - "DISPLAY_SOURCE_SCAN_CTRL", - 0x05, - Zero, - Zero, - Package (0x04) - { - "PSTATE", - Zero, - 0x04, - 0x02 - }, - - Package (0x04) - { - "PSTATE", - One, - 0x03, - 0x02 - }, - - Package (0x04) - { - "PSTATE", - 0x02, - 0x02, - 0x02 - }, - - Package (0x04) - { - "PSTATE", - 0x03, - One, - 0x02 - }, - - Package (0x04) - { - "PSTATE", - 0x04, - Zero, - 0x02 - } - }, - - Package (0x15) - { - "PSTATE_SET", - 0x03, - "INTERNAL1_CORE_CLOCK_CONTROL", - "*", - "CORE_CLOCK", - 0x0D, - 0x04, - Zero, - Package (0x04) - { - "PSTATE", - Zero, - 0x19A14780, - One - }, - - Package (0x04) - { - "PSTATE", - One, - 0x18964020, - One - }, - - Package (0x04) - { - "PSTATE", - 0x02, - 0x14810600, - 0x02 - }, - - Package (0x04) - { - "PSTATE", - 0x03, - 0x11E1A300, - 0x02 - }, - - Package (0x04) - { - "PSTATE", - 0x04, - 0x10642AC0, - 0x02 - }, - - Package (0x04) - { - "PSTATE", - 0x05, - 0x0BEBC200, - 0x02 - }, - - Package (0x04) - { - "PSTATE", - 0x06, - 0x0A37CADB, - 0x03 - }, - - Package (0x04) - { - "PSTATE", - 0x07, - 0x09D5B340, - 0x03 - }, - - Package (0x04) - { - "PSTATE", - 0x08, - 0x08F0D180, - 0x03 - }, - - Package (0x04) - { - "PSTATE", - 0x09, - 0x05F5E100, - 0x03 - }, - - Package (0x04) - { - "PSTATE", - 0x0A, - 0x051BD4B0, - 0x03 - }, - - Package (0x04) - { - "PSTATE", - 0x0B, - 0x0124F800, - 0x03 - }, - - Package (0x04) - { - "PSTATE", - 0x0C, - Zero, - 0x03 - } - }, - - Package (0x33) - { - "PSTATE_SET", - 0x04, - "INTERNAL1_EBI_BANDWIDTH", - "*", - "BANDWIDTH", - 0x2B, - 0x1F, - Zero, - Package (0x04) - { - "PSTATE", - Zero, - 0x000000031A4A9F80, - Zero - }, - - Package (0x04) - { - "PSTATE", - One, - 0x0000000302731B80, - Zero - }, - - Package (0x04) - { - "PSTATE", - 0x02, - 0x00000002EA9B9780, - Zero - }, - - Package (0x04) - { - "PSTATE", - 0x03, - 0x00000002D2C41380, - Zero - }, - - Package (0x04) - { - "PSTATE", - 0x04, - 0x00000002BAEC8F80, - Zero - }, - - Package (0x04) - { - "PSTATE", - 0x05, - 0x00000002A3150B80, - Zero - }, - - Package (0x04) - { - "PSTATE", - 0x06, - 0x000000028B3D8780, - Zero - }, - - Package (0x04) - { - "PSTATE", - 0x07, - 0x0000000273660380, - Zero - }, - - Package (0x04) - { - "PSTATE", - 0x08, - 0x000000025B8E7F80, - One - }, - - Package (0x04) - { - "PSTATE", - 0x09, - 0x000000023C346000, - One - }, - - Package (0x04) - { - "PSTATE", - 0x0A, - 0x00000002245CDC00, - One - }, - - Package (0x04) - { - "PSTATE", - 0x0B, - 0x000000020C855800, - One - }, - - Package (0x04) - { - "PSTATE", - 0x0C, - 0x00000001F4ADD400, - One - }, - - Package (0x04) - { - "PSTATE", - 0x0D, - 0x00000001DCD65000, - One - }, - - Package (0x04) - { - "PSTATE", - 0x0E, - 0x00000001C4FECC00, - One - }, - - Package (0x04) - { - "PSTATE", - 0x0F, - 0x00000001AD274800, - One - }, - - Package (0x04) - { - "PSTATE", - 0x10, - 0x00000001954FC400, - One - }, - - Package (0x04) - { - "PSTATE", - 0x11, - 0x000000017D784000, - One - }, - - Package (0x04) - { - "PSTATE", - 0x12, - 0x0000000165A0BC00, - One - }, - - Package (0x04) - { - "PSTATE", - 0x13, - 0x000000014DC93800, - One - }, - - Package (0x04) - { - "PSTATE", - 0x14, - 0x0000000135F1B400, - 0x02 - }, - - Package (0x04) - { - "PSTATE", - 0x15, - 0x000000011E1A3000, - 0x02 - }, - - Package (0x04) - { - "PSTATE", - 0x16, - 0x000000010642AC00, - 0x02 - }, - - Package (0x04) - { - "PSTATE", - 0x17, - 0xEE6B2800, - 0x02 - }, - - Package (0x04) - { - "PSTATE", - 0x18, - 0xD693A400, - 0x02 - }, - - Package (0x04) - { - "PSTATE", - 0x19, - 0xBEBC2000, - 0x02 - }, - - Package (0x04) - { - "PSTATE", - 0x1A, - 0xA6E49C00, - 0x02 - }, - - Package (0x04) - { - "PSTATE", - 0x1B, - 0x8F0D1800, - 0x02 - }, - - Package (0x04) - { - "PSTATE", - 0x1C, - 0x77359400, - 0x02 - }, - - Package (0x04) - { - "PSTATE", - 0x1D, - 0x5F5E1000, - 0x02 - }, - - Package (0x04) - { - "PSTATE", - 0x1E, - 0x59682F00, - 0x02 - }, - - Package (0x04) - { - "PSTATE", - 0x1F, - 0x53724E00, - 0x02 - }, - - Package (0x04) - { - "PSTATE", - 0x20, - 0x4D7C6D00, - 0x02 - }, - - Package (0x04) - { - "PSTATE", - 0x21, - 0x47868C00, - 0x02 - }, - - Package (0x04) - { - "PSTATE", - 0x22, - 0x4190AB00, - 0x02 - }, - - Package (0x04) - { - "PSTATE", - 0x23, - 0x3B9ACA00, - 0x02 - }, - - Package (0x04) - { - "PSTATE", - 0x24, - 0x35A4E900, - 0x02 - }, - - Package (0x04) - { - "PSTATE", - 0x25, - 0x2FAF0800, - 0x02 - }, - - Package (0x04) - { - "PSTATE", - 0x26, - 0x29B92700, - 0x02 - }, - - Package (0x04) - { - "PSTATE", - 0x27, - 0x23C34600, - 0x02 - }, - - Package (0x04) - { - "PSTATE", - 0x28, - 0x1DCD6500, - 0x02 - }, - - Package (0x04) - { - "PSTATE", - 0x29, - 0x17D78400, - 0x02 - }, - - Package (0x04) - { - "PSTATE", - 0x2A, - Zero, - 0x02 - } - } - }, - - Package (0x10) - { - "COMPONENT", - One, - ToUUID ("30bdf1b5-28f7-4c0c-ac47-273dd1401e11") /* Unknown UUID */, - "GRAPHICS_POWER_STATES", - "HW_BLOCK_GRAPHICS", - Package (0x02) - { - "ENGINE", - "GRAPHICS" - }, - - 0x03, - 0x05, - Package (0x06) - { - "FSTATE", - Zero, - "ALL_ON", - Zero, - Zero, - 0x03 - }, - - Package (0x06) - { - "FSTATE", - One, - "PWR_OFF", - 0x2710, - 0x0A, - 0x02 - }, - - Package (0x06) - { - "FSTATE", - 0x02, - "PWR_OFF", - 0x2711, - 0x0A, - One - }, - - Package (0x0A) - { - "PSTATE_SET", - Zero, - "GRAPHICS_RESET", - "*", - "RESET", - 0x02, - Zero, - Zero, - Package (0x04) - { - "PSTATE", - Zero, - One, - 0x02 - }, - - Package (0x04) - { - "PSTATE", - One, - Zero, - 0x02 - } - }, - - Package (0x0B) - { - "PSTATE_SET", - One, - "CX_HS_MGMT", - "*", - "INTERNAL", - 0x03, - Zero, - Zero, - Package (0x04) - { - "PSTATE", - Zero, - 0x02, - 0x02 - }, - - Package (0x04) - { - "PSTATE", - One, - One, - 0x02 - }, - - Package (0x04) - { - "PSTATE", - 0x02, - Zero, - 0x02 - } - }, - - Package (0x0A) - { - "PSTATE_SET", - 0x02, - "GRAPHICS_FOOTSWITCH_OVERRIDE", - "*", - "FOOTSWITCH_OVERRIDE", - 0x02, - One, - Zero, - Package (0x04) - { - "PSTATE", - Zero, - One, - 0x02 - }, - - Package (0x04) - { - "PSTATE", - One, - Zero, - 0x02 - } - }, - - Package (0x02) - { - "ENGINE_PSTATE_SET", - 0x03 - }, - - Package (0x16) - { - "ENGINE_PSTATE_SET", - 0x04, - "GRAPHICS_BW_CONTROL", - "BANDWIDTH", - 0x0D, - Zero, - "TRUE", - 0x04, - 0x03, - Package (0x05) - { - "PSTATE", - Zero, - 0x00000001AE1B6C00, - 0x0180, - Package (0x02) - { - "GPU", - 0x64 - } - }, - - Package (0x05) - { - "PSTATE", - One, - 0x0000000172BDAB00, - 0x0100, - Package (0x02) - { - "GPU", - 0x56 - } - }, - - Package (0x05) - { - "PSTATE", - 0x02, - 0x0000000134FD9000, - 0x0100, - Package (0x02) - { - "GPU", - 0x48 - } - }, - - Package (0x05) - { - "PSTATE", - 0x03, - 0xF278C100, - 0xC0, - Package (0x02) - { - "GPU", - 0x38 - } - }, - - Package (0x05) - { - "PSTATE", - 0x04, - 0xB71B0000, - 0x80, - Package (0x02) - { - "GPU", - 0x2A - } - }, - - Package (0x05) - { - "PSTATE", - 0x05, - 0xA25CF100, - 0x80, - Package (0x02) - { - "GPU", - 0x26 - } - }, - - Package (0x05) - { - "PSTATE", - 0x06, - 0x826A3B00, - 0x80, - Package (0x02) - { - "GPU", - 0x1E - } - }, - - Package (0x05) - { - "PSTATE", - 0x07, - 0x623A7C00, - 0x40, - Package (0x02) - { - "GPU", - 0x17 - } - }, - - Package (0x05) - { - "PSTATE", - 0x08, - 0x47868C00, - 0x30, - Package (0x02) - { - "GPU", - 0x11 - } - }, - - Package (0x05) - { - "PSTATE", - 0x09, - 0x2FAF0800, - 0x30, - Package (0x02) - { - "GPU", - 0x0B - } - }, - - Package (0x05) - { - "PSTATE", - 0x0A, - 0x23C34600, - 0x30, - Package (0x02) - { - "GPU", - 0x08 - } - }, - - Package (0x05) - { - "PSTATE", - 0x0B, - 0x17D78400, - 0x30, - Package (0x02) - { - "GPU", - Zero - } - }, - - Package (0x05) - { - "PSTATE", - 0x0C, - Zero, - Zero, - Package (0x02) - { - "GPU", - Zero - } - } - } - }, - - Package (0x0F) - { - "COMPONENT", - 0x02, - ToUUID ("07ca2add-df87-49e1-8583-08687dc81c8e") /* Unknown UUID */, - "MDPBLT_POWER_STATES", - "HW_BLOCK_MDP", - Package (0x02) - { - "ENGINE", - "MDPBLT" - }, - - 0x03, - 0x04, - Package (0x06) - { - "FSTATE", - Zero, - "ALL_ON", - Zero, - Zero, - 0x03 - }, - - Package (0x06) - { - "FSTATE", - One, - "CLK_OFF", - 0x2710, - Zero, - 0x02 - }, - - Package (0x06) - { - "FSTATE", - 0x02, - "PWR_OFF", - 0x000186A0, - Zero, - One - }, - - Package (0x0A) - { - "PSTATE_SET", - Zero, - "MDPBLT_RESET", - "*", - "RESET", - 0x02, - Zero, - Zero, - Package (0x04) - { - "PSTATE", - Zero, - One, - 0x02 - }, - - Package (0x04) - { - "PSTATE", - One, - Zero, - 0x02 - } - }, - - Package (0x0A) - { - "PSTATE_SET", - One, - "MDPBLT_FOOTSWITCH_OVERRIDE", - "*", - "FOOTSWITCH_OVERRIDE", - 0x02, - 0xFFFFFFFF, - Zero, - Package (0x04) - { - "PSTATE", - Zero, - One, - 0x02 - }, - - Package (0x04) - { - "PSTATE", - One, - Zero, - 0x02 - } - }, - - Package (0x15) - { - "PSTATE_SET", - 0x02, - "MDPBLT_CORE_CLOCK_CONTROL", - "*", - "CORE_CLOCK", - 0x0D, - 0x08, - Zero, - Package (0x05) - { - "PSTATE", - Zero, - 0x19A14780, - One, - Package (0x02) - { - "GPU", - 0x64 - } - }, - - Package (0x05) - { - "PSTATE", - One, - 0x18964020, - One, - Package (0x02) - { - "GPU", - 0x64 - } - }, - - Package (0x05) - { - "PSTATE", - 0x02, - 0x14810600, - 0x02, - Package (0x02) - { - "GPU", - 0x42 - } - }, - - Package (0x05) - { - "PSTATE", - 0x03, - 0x11E1A300, - 0x02, - Package (0x02) - { - "GPU", - 0x42 - } - }, - - Package (0x05) - { - "PSTATE", - 0x04, - 0x10642AC0, - 0x02, - Package (0x02) - { - "GPU", - 0x42 - } - }, - - Package (0x05) - { - "PSTATE", - 0x05, - 0x0BEBC200, - 0x02, - Package (0x02) - { - "GPU", - 0x42 - } - }, - - Package (0x05) - { - "PSTATE", - 0x06, - 0x0A37CADB, - 0x03, - Package (0x02) - { - "GPU", - 0x21 - } - }, - - Package (0x05) - { - "PSTATE", - 0x07, - 0x09D5B340, - 0x03, - Package (0x02) - { - "GPU", - 0x21 - } - }, - - Package (0x05) - { - "PSTATE", - 0x08, - 0x08F0D180, - 0x03, - Package (0x02) - { - "GPU", - 0x21 - } - }, - - Package (0x05) - { - "PSTATE", - 0x09, - 0x05F5E100, - 0x03, - Package (0x02) - { - "GPU", - 0x21 - } - }, - - Package (0x05) - { - "PSTATE", - 0x0A, - 0x051BD4B0, - 0x03, - Package (0x02) - { - "GPU", - Zero - } - }, - - Package (0x05) - { - "PSTATE", - 0x0B, - 0x0124F800, - 0x03, - Package (0x02) - { - "GPU", - Zero - } - }, - - Package (0x05) - { - "PSTATE", - 0x0C, - Zero, - 0x03, - Package (0x02) - { - "GPU", - Zero - } - } - }, - - Package (0x3A) - { - "PSTATE_SET", - 0x03, - "MDPBLT_AXI_BANDWIDTH_CONTROL", - "*", - "BANDWIDTH", - 0x32, - 0xFFFFFFFF, - Zero, - Package (0x05) - { - "PSTATE", - Zero, - 0x000000031A4A9F80, - Zero, - Package (0x02) - { - "GPU", - 0x64 - } - }, - - Package (0x05) - { - "PSTATE", - One, - 0x0000000302731B80, - Zero, - Package (0x02) - { - "GPU", - 0x64 - } - }, - - Package (0x05) - { - "PSTATE", - 0x02, - 0x00000002EA9B9780, - Zero, - Package (0x02) - { - "GPU", - 0x64 - } - }, - - Package (0x05) - { - "PSTATE", - 0x03, - 0x00000002D2C41380, - Zero, - Package (0x02) - { - "GPU", - 0x64 - } - }, - - Package (0x05) - { - "PSTATE", - 0x04, - 0x00000002BAEC8F80, - Zero, - Package (0x02) - { - "GPU", - 0x64 - } - }, - - Package (0x05) - { - "PSTATE", - 0x05, - 0x00000002A3150B80, - Zero, - Package (0x02) - { - "GPU", - 0x64 - } - }, - - Package (0x05) - { - "PSTATE", - 0x06, - 0x000000028B3D8780, - Zero, - Package (0x02) - { - "GPU", - 0x64 - } - }, - - Package (0x05) - { - "PSTATE", - 0x07, - 0x0000000273660380, - Zero, - Package (0x02) - { - "GPU", - 0x64 - } - }, - - Package (0x05) - { - "PSTATE", - 0x08, - 0x000000025B8E7F80, - One, - Package (0x02) - { - "GPU", - 0x64 - } - }, - - Package (0x05) - { - "PSTATE", - 0x09, - 0x0000000243B6FB80, - One, - Package (0x02) - { - "GPU", - 0x4B - } - }, - - Package (0x05) - { - "PSTATE", - 0x0A, - 0x000000022BDF7780, - One, - Package (0x02) - { - "GPU", - 0x4B - } - }, - - Package (0x05) - { - "PSTATE", - 0x0B, - 0x000000021407F380, - One, - Package (0x02) - { - "GPU", - 0x4B - } - }, - - Package (0x05) - { - "PSTATE", - 0x0C, - 0x00000001FC306F80, - One, - Package (0x02) - { - "GPU", - 0x4B - } - }, - - Package (0x05) - { - "PSTATE", - 0x0D, - 0x00000001E458EB80, - One, - Package (0x02) - { - "GPU", - 0x4B - } - }, - - Package (0x05) - { - "PSTATE", - 0x0E, - 0x00000001CC816780, - One, - Package (0x02) - { - "GPU", - 0x4B - } - }, - - Package (0x05) - { - "PSTATE", - 0x0F, - 0x00000001B4A9E380, - One, - Package (0x02) - { - "GPU", - 0x4B - } - }, - - Package (0x05) - { - "PSTATE", - 0x10, - 0x000000019CD25F80, - One, - Package (0x02) - { - "GPU", - 0x32 - } - }, - - Package (0x05) - { - "PSTATE", - 0x11, - 0x0000000184FADB80, - One, - Package (0x02) - { - "GPU", - 0x32 - } - }, - - Package (0x05) - { - "PSTATE", - 0x12, - 0x000000016D235780, - One, - Package (0x02) - { - "GPU", - 0x32 - } - }, - - Package (0x05) - { - "PSTATE", - 0x13, - 0x00000001554BD380, - One, - Package (0x02) - { - "GPU", - 0x32 - } - }, - - Package (0x05) - { - "PSTATE", - 0x14, - 0x000000013D744F80, - 0x02, - Package (0x02) - { - "GPU", - 0x32 - } - }, - - Package (0x05) - { - "PSTATE", - 0x15, - 0x00000001259CCB80, - 0x02, - Package (0x02) - { - "GPU", - 0x32 - } - }, - - Package (0x05) - { - "PSTATE", - 0x16, - 0x000000010DC54780, - 0x02, - Package (0x02) - { - "GPU", - 0x32 - } - }, - - Package (0x05) - { - "PSTATE", - 0x17, - 0xF5EDC380, - 0x02, - Package (0x02) - { - "GPU", - 0x32 - } - }, - - Package (0x05) - { - "PSTATE", - 0x18, - 0xDE163F80, - 0x02, - Package (0x02) - { - "GPU", - 0x19 - } - }, - - Package (0x05) - { - "PSTATE", - 0x19, - 0xC63EBB80, - 0x02, - Package (0x02) - { - "GPU", - 0x19 - } - }, - - Package (0x05) - { - "PSTATE", - 0x1A, - 0xAE673780, - 0x02, - Package (0x02) - { - "GPU", - 0x19 - } - }, - - Package (0x05) - { - "PSTATE", - 0x1B, - 0x968FB380, - 0x02, - Package (0x02) - { - "GPU", - 0x19 - } - }, - - Package (0x05) - { - "PSTATE", - 0x1C, - 0x8F0D1800, - 0x02, - Package (0x02) - { - "GPU", - 0x19 - } - }, - - Package (0x05) - { - "PSTATE", - 0x1D, - 0x89173700, - 0x02, - Package (0x02) - { - "GPU", - 0x19 - } - }, - - Package (0x05) - { - "PSTATE", - 0x1E, - 0x83215600, - 0x02, - Package (0x02) - { - "GPU", - 0x19 - } - }, - - Package (0x05) - { - "PSTATE", - 0x1F, - 0x7D2B7500, - 0x02, - Package (0x02) - { - "GPU", - 0x19 - } - }, - - Package (0x05) - { - "PSTATE", - 0x20, - 0x77359400, - 0x02, - Package (0x02) - { - "GPU", - 0x19 - } - }, - - Package (0x05) - { - "PSTATE", - 0x21, - 0x713FB300, - 0x02, - Package (0x02) - { - "GPU", - 0x19 - } - }, - - Package (0x05) - { - "PSTATE", - 0x22, - 0x6B49D200, - 0x02, - Package (0x02) - { - "GPU", - 0x19 - } - }, - - Package (0x05) - { - "PSTATE", - 0x23, - 0x6553F100, - 0x02, - Package (0x02) - { - "GPU", - 0x19 - } - }, - - Package (0x05) - { - "PSTATE", - 0x24, - 0x5F5E1000, - 0x02, - Package (0x02) - { - "GPU", - 0x19 - } - }, - - Package (0x05) - { - "PSTATE", - 0x25, - 0x59682F00, - 0x02, - Package (0x02) - { - "GPU", - 0x19 - } - }, - - Package (0x05) - { - "PSTATE", - 0x26, - 0x53724E00, - 0x02, - Package (0x02) - { - "GPU", - 0x19 - } - }, - - Package (0x05) - { - "PSTATE", - 0x27, - 0x4D7C6D00, - 0x02, - Package (0x02) - { - "GPU", - 0x19 - } - }, - - Package (0x05) - { - "PSTATE", - 0x28, - 0x47868C00, - 0x02, - Package (0x02) - { - "GPU", - 0x19 - } - }, - - Package (0x05) - { - "PSTATE", - 0x29, - 0x4190AB00, - 0x02, - Package (0x02) - { - "GPU", - 0x19 - } - }, - - Package (0x05) - { - "PSTATE", - 0x2A, - 0x3B9ACA00, - 0x02, - Package (0x02) - { - "GPU", - 0x19 - } - }, - - Package (0x05) - { - "PSTATE", - 0x2B, - 0x35A4E900, - 0x02, - Package (0x02) - { - "GPU", - Zero - } - }, - - Package (0x05) - { - "PSTATE", - 0x2C, - 0x2FAF0800, - 0x02, - Package (0x02) - { - "GPU", - Zero - } - }, - - Package (0x05) - { - "PSTATE", - 0x2D, - 0x29B92700, - 0x02, - Package (0x02) - { - "GPU", - Zero - } - }, - - Package (0x05) - { - "PSTATE", - 0x2E, - 0x23C34600, - 0x02, - Package (0x02) - { - "GPU", - Zero - } - }, - - Package (0x05) - { - "PSTATE", - 0x2F, - 0x1DCD6500, - 0x02, - Package (0x02) - { - "GPU", - Zero - } - }, - - Package (0x05) - { - "PSTATE", - 0x30, - 0x17D78400, - 0x02, - Package (0x02) - { - "GPU", - Zero - } - }, - - Package (0x05) - { - "PSTATE", - 0x31, - Zero, - 0x02, - Package (0x02) - { - "GPU", - Zero - } - } - } - }, - - Package (0x0F) - { - "COMPONENT", - 0x03, - ToUUID ("4d5ffbf5-d791-41cd-89cb-0154129ba607") /* Unknown UUID */, - "ROTATOR_POWER_STATES", - "HW_BLOCK_ROTATOR", - Package (0x02) - { - "ENGINE", - "ROTATOR" - }, - - 0x03, - 0x04, - Package (0x06) - { - "FSTATE", - Zero, - "ALL_ON", - Zero, - Zero, - 0x03 - }, - - Package (0x06) - { - "FSTATE", - One, - "CLK_OFF", - 0x2710, - Zero, - 0x02 - }, - - Package (0x06) - { - "FSTATE", - 0x02, - "PWR_OFF", - 0x000186A0, - Zero, - One - }, - - Package (0x0A) - { - "PSTATE_SET", - Zero, - "ROTATOR_RESET", - "*", - "RESET", - 0x02, - Zero, - Zero, - Package (0x04) - { - "PSTATE", - Zero, - One, - 0x02 - }, - - Package (0x04) - { - "PSTATE", - One, - Zero, - 0x02 - } - }, - - Package (0x0A) - { - "PSTATE_SET", - One, - "ROTATOR_FOOTSWITCH_OVERRIDE", - "*", - "FOOTSWITCH_OVERRIDE", - 0x02, - 0xFFFFFFFF, - Zero, - Package (0x04) - { - "PSTATE", - Zero, - One, - 0x02 - }, - - Package (0x04) - { - "PSTATE", - One, - Zero, - 0x02 - } - }, - - Package (0x10) - { - "PSTATE_SET", - 0x02, - "ROTATOR_CORE_CLOCK_CONTROL", - "*", - "CORE_CLOCK", - 0x08, - 0x05, - Zero, - Package (0x05) - { - "PSTATE", - Zero, - 0x19A14780, - One, - Package (0x02) - { - "GPU", - 0x64 - } - }, - - Package (0x05) - { - "PSTATE", - One, - 0x18964020, - One, - Package (0x02) - { - "GPU", - 0x64 - } - }, - - Package (0x05) - { - "PSTATE", - 0x02, - 0x14810600, - 0x02, - Package (0x02) - { - "GPU", - 0x42 - } - }, - - Package (0x05) - { - "PSTATE", - 0x03, - 0x11E1A300, - 0x02, - Package (0x02) - { - "GPU", - 0x42 - } - }, - - Package (0x05) - { - "PSTATE", - 0x04, - 0x0A37CADB, - 0x03, - Package (0x02) - { - "GPU", - 0x21 - } - }, - - Package (0x05) - { - "PSTATE", - 0x05, - 0x09D5B340, - 0x03, - Package (0x02) - { - "GPU", - 0x21 - } - }, - - Package (0x05) - { - "PSTATE", - 0x06, - 0x0124F800, - 0x03, - Package (0x02) - { - "GPU", - Zero - } - }, - - Package (0x05) - { - "PSTATE", - 0x07, - Zero, - 0x03, - Package (0x02) - { - "GPU", - Zero - } - } - }, - - Package (0x3A) - { - "PSTATE_SET", - 0x03, - "ROTATOR_AXI_BANDWIDTH_CONTROL", - "*", - "BANDWIDTH", - 0x32, - 0xFFFFFFFF, - Zero, - Package (0x05) - { - "PSTATE", - Zero, - 0x000000031A4A9F80, - Zero, - Package (0x02) - { - "GPU", - 0x64 - } - }, - - Package (0x05) - { - "PSTATE", - One, - 0x0000000302731B80, - Zero, - Package (0x02) - { - "GPU", - 0x64 - } - }, - - Package (0x05) - { - "PSTATE", - 0x02, - 0x00000002EA9B9780, - Zero, - Package (0x02) - { - "GPU", - 0x64 - } - }, - - Package (0x05) - { - "PSTATE", - 0x03, - 0x00000002D2C41380, - Zero, - Package (0x02) - { - "GPU", - 0x64 - } - }, - - Package (0x05) - { - "PSTATE", - 0x04, - 0x00000002BAEC8F80, - Zero, - Package (0x02) - { - "GPU", - 0x64 - } - }, - - Package (0x05) - { - "PSTATE", - 0x05, - 0x00000002A3150B80, - Zero, - Package (0x02) - { - "GPU", - 0x64 - } - }, - - Package (0x05) - { - "PSTATE", - 0x06, - 0x000000028B3D8780, - Zero, - Package (0x02) - { - "GPU", - 0x64 - } - }, - - Package (0x05) - { - "PSTATE", - 0x07, - 0x0000000273660380, - Zero, - Package (0x02) - { - "GPU", - 0x64 - } - }, - - Package (0x05) - { - "PSTATE", - 0x08, - 0x000000025B8E7F80, - One, - Package (0x02) - { - "GPU", - 0x64 - } - }, - - Package (0x05) - { - "PSTATE", - 0x09, - 0x0000000243B6FB80, - One, - Package (0x02) - { - "GPU", - 0x4B - } - }, - - Package (0x05) - { - "PSTATE", - 0x0A, - 0x000000022BDF7780, - One, - Package (0x02) - { - "GPU", - 0x4B - } - }, - - Package (0x05) - { - "PSTATE", - 0x0B, - 0x000000021407F380, - One, - Package (0x02) - { - "GPU", - 0x4B - } - }, - - Package (0x05) - { - "PSTATE", - 0x0C, - 0x00000001FC306F80, - One, - Package (0x02) - { - "GPU", - 0x4B - } - }, - - Package (0x05) - { - "PSTATE", - 0x0D, - 0x00000001E458EB80, - One, - Package (0x02) - { - "GPU", - 0x4B - } - }, - - Package (0x05) - { - "PSTATE", - 0x0E, - 0x00000001CC816780, - One, - Package (0x02) - { - "GPU", - 0x4B - } - }, - - Package (0x05) - { - "PSTATE", - 0x0F, - 0x00000001B4A9E380, - One, - Package (0x02) - { - "GPU", - 0x4B - } - }, - - Package (0x05) - { - "PSTATE", - 0x10, - 0x000000019CD25F80, - One, - Package (0x02) - { - "GPU", - 0x32 - } - }, - - Package (0x05) - { - "PSTATE", - 0x11, - 0x0000000184FADB80, - One, - Package (0x02) - { - "GPU", - 0x32 - } - }, - - Package (0x05) - { - "PSTATE", - 0x12, - 0x000000016D235780, - One, - Package (0x02) - { - "GPU", - 0x32 - } - }, - - Package (0x05) - { - "PSTATE", - 0x13, - 0x00000001554BD380, - One, - Package (0x02) - { - "GPU", - 0x32 - } - }, - - Package (0x05) - { - "PSTATE", - 0x14, - 0x000000013D744F80, - 0x02, - Package (0x02) - { - "GPU", - 0x32 - } - }, - - Package (0x05) - { - "PSTATE", - 0x15, - 0x00000001259CCB80, - 0x02, - Package (0x02) - { - "GPU", - 0x32 - } - }, - - Package (0x05) - { - "PSTATE", - 0x16, - 0x000000010DC54780, - 0x02, - Package (0x02) - { - "GPU", - 0x32 - } - }, - - Package (0x05) - { - "PSTATE", - 0x17, - 0xF5EDC380, - 0x02, - Package (0x02) - { - "GPU", - 0x32 - } - }, - - Package (0x05) - { - "PSTATE", - 0x18, - 0xDE163F80, - 0x02, - Package (0x02) - { - "GPU", - 0x19 - } - }, - - Package (0x05) - { - "PSTATE", - 0x19, - 0xC63EBB80, - 0x02, - Package (0x02) - { - "GPU", - 0x19 - } - }, - - Package (0x05) - { - "PSTATE", - 0x1A, - 0xAE673780, - 0x02, - Package (0x02) - { - "GPU", - 0x19 - } - }, - - Package (0x05) - { - "PSTATE", - 0x1B, - 0x968FB380, - 0x02, - Package (0x02) - { - "GPU", - 0x19 - } - }, - - Package (0x05) - { - "PSTATE", - 0x1C, - 0x8F0D1800, - 0x02, - Package (0x02) - { - "GPU", - 0x19 - } - }, - - Package (0x05) - { - "PSTATE", - 0x1D, - 0x89173700, - 0x02, - Package (0x02) - { - "GPU", - 0x19 - } - }, - - Package (0x05) - { - "PSTATE", - 0x1E, - 0x83215600, - 0x02, - Package (0x02) - { - "GPU", - 0x19 - } - }, - - Package (0x05) - { - "PSTATE", - 0x1F, - 0x7D2B7500, - 0x02, - Package (0x02) - { - "GPU", - 0x19 - } - }, - - Package (0x05) - { - "PSTATE", - 0x20, - 0x77359400, - 0x02, - Package (0x02) - { - "GPU", - 0x19 - } - }, - - Package (0x05) - { - "PSTATE", - 0x21, - 0x713FB300, - 0x02, - Package (0x02) - { - "GPU", - 0x19 - } - }, - - Package (0x05) - { - "PSTATE", - 0x22, - 0x6B49D200, - 0x02, - Package (0x02) - { - "GPU", - 0x19 - } - }, - - Package (0x05) - { - "PSTATE", - 0x23, - 0x6553F100, - 0x02, - Package (0x02) - { - "GPU", - 0x19 - } - }, - - Package (0x05) - { - "PSTATE", - 0x24, - 0x5F5E1000, - 0x02, - Package (0x02) - { - "GPU", - 0x19 - } - }, - - Package (0x05) - { - "PSTATE", - 0x25, - 0x59682F00, - 0x02, - Package (0x02) - { - "GPU", - 0x19 - } - }, - - Package (0x05) - { - "PSTATE", - 0x26, - 0x53724E00, - 0x02, - Package (0x02) - { - "GPU", - 0x19 - } - }, - - Package (0x05) - { - "PSTATE", - 0x27, - 0x4D7C6D00, - 0x02, - Package (0x02) - { - "GPU", - 0x19 - } - }, - - Package (0x05) - { - "PSTATE", - 0x28, - 0x47868C00, - 0x02, - Package (0x02) - { - "GPU", - 0x19 - } - }, - - Package (0x05) - { - "PSTATE", - 0x29, - 0x4190AB00, - 0x02, - Package (0x02) - { - "GPU", - 0x19 - } - }, - - Package (0x05) - { - "PSTATE", - 0x2A, - 0x3B9ACA00, - 0x02, - Package (0x02) - { - "GPU", - 0x19 - } - }, - - Package (0x05) - { - "PSTATE", - 0x2B, - 0x35A4E900, - 0x02, - Package (0x02) - { - "GPU", - Zero - } - }, - - Package (0x05) - { - "PSTATE", - 0x2C, - 0x2FAF0800, - 0x02, - Package (0x02) - { - "GPU", - Zero - } - }, - - Package (0x05) - { - "PSTATE", - 0x2D, - 0x29B92700, - 0x02, - Package (0x02) - { - "GPU", - Zero - } - }, - - Package (0x05) - { - "PSTATE", - 0x2E, - 0x23C34600, - 0x02, - Package (0x02) - { - "GPU", - Zero - } - }, - - Package (0x05) - { - "PSTATE", - 0x2F, - 0x1DCD6500, - 0x02, - Package (0x02) - { - "GPU", - Zero - } - }, - - Package (0x05) - { - "PSTATE", - 0x30, - 0x17D78400, - 0x02, - Package (0x02) - { - "GPU", - Zero - } - }, - - Package (0x05) - { - "PSTATE", - 0x31, - Zero, - 0x02, - Package (0x02) - { - "GPU", - Zero - } - } - } - }, - - Package (0x0F) - { - "COMPONENT", - 0x04, - ToUUID ("d0e1bb1a-703d-4be8-b450-64a4fbfca6a8") /* Unknown UUID */, - "VIDEO_POWER_STATES", - "HW_BLOCK_VIDEO", - Package (0x02) - { - "ENGINE", - "VIDEO" - }, - - 0x03, - 0x04, - Package (0x06) - { - "FSTATE", - Zero, - "ALL_ON", - Zero, - Zero, - 0x03 - }, - - Package (0x06) - { - "FSTATE", - One, - "CLK_OFF", - 0x2710, - Zero, - 0x02 - }, - - Package (0x06) - { - "FSTATE", - 0x02, - "PWR_OFF", - 0x000186A0, - Zero, - One - }, - - Package (0x0A) - { - "PSTATE_SET", - Zero, - "VIDEO_RESET", - "*", - "RESET", - 0x02, - Zero, - Zero, - Package (0x04) - { - "PSTATE", - Zero, - One, - 0x02 - }, - - Package (0x04) - { - "PSTATE", - One, - Zero, - 0x02 - } - }, - - Package (0x0A) - { - "PSTATE_SET", - One, - "VIDEO_FOOTSWITCH_OVERRIDE", - "*", - "FOOTSWITCH_OVERRIDE", - 0x02, - One, - Zero, - Package (0x04) - { - "PSTATE", - Zero, - One, - 0x02 - }, - - Package (0x04) - { - "PSTATE", - One, - Zero, - 0x02 - } - }, - - Package (0x0F) - { - "PSTATE_SET", - 0x02, - "VIDEO_CORE_CLOCK_CONTROL", - "*", - "CORE_CLOCK", - 0x07, - 0x04, - Zero, - Package (0x04) - { - "PSTATE", - Zero, - 0x1FC4EF40, - Zero - }, - - Package (0x04) - { - "PSTATE", - One, - 0x1A76E700, - One - }, - - Package (0x04) - { - "PSTATE", - 0x02, - 0x16A65700, - 0x02 - }, - - Package (0x04) - { - "PSTATE", - 0x03, - 0x1312D000, - 0x02 - }, - - Package (0x04) - { - "PSTATE", - 0x04, - 0x0BEBC200, - 0x02 - }, - - Package (0x04) - { - "PSTATE", - 0x05, - 0x05F5E100, - 0x02 - }, - - Package (0x04) - { - "PSTATE", - 0x06, - Zero, - 0x03 - } - }, - - Package (0x77) - { - "PSTATE_SET", - 0x03, - "VIDEO_AXI_PORT_BW", - "*", - "BANDWIDTH", - 0x6F, - 0x6C, - Zero, - Package (0x04) - { - "PSTATE", - Zero, - 0x000000051F4D5C00, - Zero - }, - - Package (0x04) - { - "PSTATE", - One, - 0x0000000513619A00, - Zero - }, - - Package (0x04) - { - "PSTATE", - 0x02, - 0x000000050775D800, - Zero - }, - - Package (0x04) - { - "PSTATE", - 0x03, - 0x00000004FB8A1600, - Zero - }, - - Package (0x04) - { - "PSTATE", - 0x04, - 0x00000004EF9E5400, - Zero - }, - - Package (0x04) - { - "PSTATE", - 0x05, - 0x00000004E3B29200, - Zero - }, - - Package (0x04) - { - "PSTATE", - 0x06, - 0x00000004D7C6D000, - Zero - }, - - Package (0x04) - { - "PSTATE", - 0x07, - 0x00000004CBDB0E00, - Zero - }, - - Package (0x04) - { - "PSTATE", - 0x08, - 0x00000004BFEF4C00, - Zero - }, - - Package (0x04) - { - "PSTATE", - 0x09, - 0x00000004B4038A00, - Zero - }, - - Package (0x04) - { - "PSTATE", - 0x0A, - 0x00000004A817C800, - Zero - }, - - Package (0x04) - { - "PSTATE", - 0x0B, - 0x000000049C2C0600, - Zero - }, - - Package (0x04) - { - "PSTATE", - 0x0C, - 0x0000000490404400, - Zero - }, - - Package (0x04) - { - "PSTATE", - 0x0D, - 0x0000000484548200, - Zero - }, - - Package (0x04) - { - "PSTATE", - 0x0E, - 0x000000047868C000, - Zero - }, - - Package (0x04) - { - "PSTATE", - 0x0F, - 0x000000046C7CFE00, - Zero - }, - - Package (0x04) - { - "PSTATE", - 0x10, - 0x0000000460913C00, - Zero - }, - - Package (0x04) - { - "PSTATE", - 0x11, - 0x0000000454A57A00, - Zero - }, - - Package (0x04) - { - "PSTATE", - 0x12, - 0x0000000448B9B800, - Zero - }, - - Package (0x04) - { - "PSTATE", - 0x13, - 0x000000043CCDF600, - Zero - }, - - Package (0x04) - { - "PSTATE", - 0x14, - 0x0000000430E23400, - Zero - }, - - Package (0x04) - { - "PSTATE", - 0x15, - 0x0000000424F67200, - Zero - }, - - Package (0x04) - { - "PSTATE", - 0x16, - 0x00000004190AB000, - Zero - }, - - Package (0x04) - { - "PSTATE", - 0x17, - 0x000000040D1EEE00, - Zero - }, - - Package (0x04) - { - "PSTATE", - 0x18, - 0x0000000401332C00, - Zero - }, - - Package (0x04) - { - "PSTATE", - 0x19, - 0x00000003F5476A00, - Zero - }, - - Package (0x04) - { - "PSTATE", - 0x1A, - 0x00000003E95BA800, - Zero - }, - - Package (0x04) - { - "PSTATE", - 0x1B, - 0x00000003DD6FE600, - Zero - }, - - Package (0x04) - { - "PSTATE", - 0x1C, - 0x00000003D1842400, - Zero - }, - - Package (0x04) - { - "PSTATE", - 0x1D, - 0x00000003C5986200, - Zero - }, - - Package (0x04) - { - "PSTATE", - 0x1E, - 0x00000003B9ACA000, - Zero - }, - - Package (0x04) - { - "PSTATE", - 0x1F, - 0x00000003ADC0DE00, - Zero - }, - - Package (0x04) - { - "PSTATE", - 0x20, - 0x00000003A1D51C00, - Zero - }, - - Package (0x04) - { - "PSTATE", - 0x21, - 0x0000000395E95A00, - Zero - }, - - Package (0x04) - { - "PSTATE", - 0x22, - 0x0000000389FD9800, - Zero - }, - - Package (0x04) - { - "PSTATE", - 0x23, - 0x000000037E11D600, - Zero - }, - - Package (0x04) - { - "PSTATE", - 0x24, - 0x0000000372261400, - Zero - }, - - Package (0x04) - { - "PSTATE", - 0x25, - 0x00000003663A5200, - Zero - }, - - Package (0x04) - { - "PSTATE", - 0x26, - 0x000000035A4E9000, - Zero - }, - - Package (0x04) - { - "PSTATE", - 0x27, - 0x000000034E62CE00, - Zero - }, - - Package (0x04) - { - "PSTATE", - 0x28, - 0x0000000342770C00, - Zero - }, - - Package (0x04) - { - "PSTATE", - 0x29, - 0x00000003368B4A00, - Zero - }, - - Package (0x04) - { - "PSTATE", - 0x2A, - 0x000000032A9F8800, - Zero - }, - - Package (0x04) - { - "PSTATE", - 0x2B, - 0x000000031EB3C600, - Zero - }, - - Package (0x04) - { - "PSTATE", - 0x2C, - 0x0000000312C80400, - Zero - }, - - Package (0x04) - { - "PSTATE", - 0x2D, - 0x0000000306DC4200, - Zero - }, - - Package (0x04) - { - "PSTATE", - 0x2E, - 0x00000002FAF08000, - Zero - }, - - Package (0x04) - { - "PSTATE", - 0x2F, - 0x00000002EF04BE00, - Zero - }, - - Package (0x04) - { - "PSTATE", - 0x30, - 0x00000002E318FC00, - Zero - }, - - Package (0x04) - { - "PSTATE", - 0x31, - 0x00000002D72D3A00, - Zero - }, - - Package (0x04) - { - "PSTATE", - 0x32, - 0x00000002CB417800, - Zero - }, - - Package (0x04) - { - "PSTATE", - 0x33, - 0x00000002BF55B600, - Zero - }, - - Package (0x04) - { - "PSTATE", - 0x34, - 0x00000002B369F400, - Zero - }, - - Package (0x04) - { - "PSTATE", - 0x35, - 0x00000002A77E3200, - Zero - }, - - Package (0x04) - { - "PSTATE", - 0x36, - 0x000000029B927000, - Zero - }, - - Package (0x04) - { - "PSTATE", - 0x37, - 0x000000028FA6AE00, - Zero - }, - - Package (0x04) - { - "PSTATE", - 0x38, - 0x0000000283BAEC00, - Zero - }, - - Package (0x04) - { - "PSTATE", - 0x39, - 0x0000000277CF2A00, - Zero - }, - - Package (0x04) - { - "PSTATE", - 0x3A, - 0x000000026BE36800, - Zero - }, - - Package (0x04) - { - "PSTATE", - 0x3B, - 0x000000025FF7A600, - Zero - }, - - Package (0x04) - { - "PSTATE", - 0x3C, - 0x00000002540BE400, - Zero - }, - - Package (0x04) - { - "PSTATE", - 0x3D, - 0x0000000248202200, - Zero - }, - - Package (0x04) - { - "PSTATE", - 0x3E, - 0x000000023C346000, - Zero - }, - - Package (0x04) - { - "PSTATE", - 0x3F, - 0x0000000230489E00, - Zero - }, - - Package (0x04) - { - "PSTATE", - 0x40, - 0x00000002245CDC00, - Zero - }, - - Package (0x04) - { - "PSTATE", - 0x41, - 0x0000000218711A00, - Zero - }, - - Package (0x04) - { - "PSTATE", - 0x42, - 0x000000020C855800, - Zero - }, - - Package (0x04) - { - "PSTATE", - 0x43, - 0x0000000200999600, - Zero - }, - - Package (0x04) - { - "PSTATE", - 0x44, - 0x00000001F4ADD400, - Zero - }, - - Package (0x04) - { - "PSTATE", - 0x45, - 0x00000001E8C21200, - Zero - }, - - Package (0x04) - { - "PSTATE", - 0x46, - 0x00000001DCD65000, - Zero - }, - - Package (0x04) - { - "PSTATE", - 0x47, - 0x00000001D0EA8E00, - Zero - }, - - Package (0x04) - { - "PSTATE", - 0x48, - 0x00000001C4FECC00, - Zero - }, - - Package (0x04) - { - "PSTATE", - 0x49, - 0x00000001B9130A00, - Zero - }, - - Package (0x04) - { - "PSTATE", - 0x4A, - 0x00000001AD274800, - Zero - }, - - Package (0x04) - { - "PSTATE", - 0x4B, - 0x00000001A13B8600, - Zero - }, - - Package (0x04) - { - "PSTATE", - 0x4C, - 0x00000001954FC400, - Zero - }, - - Package (0x04) - { - "PSTATE", - 0x4D, - 0x0000000189640200, - Zero - }, - - Package (0x04) - { - "PSTATE", - 0x4E, - 0x000000017D784000, - Zero - }, - - Package (0x04) - { - "PSTATE", - 0x4F, - 0x00000001718C7E00, - Zero - }, - - Package (0x04) - { - "PSTATE", - 0x50, - 0x0000000165A0BC00, - Zero - }, - - Package (0x04) - { - "PSTATE", - 0x51, - 0x0000000159B4FA00, - Zero - }, - - Package (0x04) - { - "PSTATE", - 0x52, - 0x000000014DC93800, - Zero - }, - - Package (0x04) - { - "PSTATE", - 0x53, - 0x0000000141DD7600, - Zero - }, - - Package (0x04) - { - "PSTATE", - 0x54, - 0x0000000135F1B400, - Zero - }, - - Package (0x04) - { - "PSTATE", - 0x55, - 0x000000012A05F200, - Zero - }, - - Package (0x04) - { - "PSTATE", - 0x56, - 0x000000011E1A3000, - Zero - }, - - Package (0x04) - { - "PSTATE", - 0x57, - 0x00000001122E6E00, - Zero - }, - - Package (0x04) - { - "PSTATE", - 0x58, - 0x000000010642AC00, - Zero - }, - - Package (0x04) - { - "PSTATE", - 0x59, - 0xFA56EA00, - Zero - }, - - Package (0x04) - { - "PSTATE", - 0x5A, - 0xEE6B2800, - Zero - }, - - Package (0x04) - { - "PSTATE", - 0x5B, - 0xE27F6600, - Zero - }, - - Package (0x04) - { - "PSTATE", - 0x5C, - 0xD693A400, - Zero - }, - - Package (0x04) - { - "PSTATE", - 0x5D, - 0xCAA7E200, - Zero - }, - - Package (0x04) - { - "PSTATE", - 0x5E, - 0xBEBC2000, - Zero - }, - - Package (0x04) - { - "PSTATE", - 0x5F, - 0xB2D05E00, - Zero - }, - - Package (0x04) - { - "PSTATE", - 0x60, - 0xA6E49C00, - Zero - }, - - Package (0x04) - { - "PSTATE", - 0x61, - 0x9AF8DA00, - Zero - }, - - Package (0x04) - { - "PSTATE", - 0x62, - 0x8F0D1800, - Zero - }, - - Package (0x04) - { - "PSTATE", - 0x63, - 0x83215600, - Zero - }, - - Package (0x04) - { - "PSTATE", - 0x64, - 0x77359400, - Zero - }, - - Package (0x04) - { - "PSTATE", - 0x65, - 0x6B49D200, - Zero - }, - - Package (0x04) - { - "PSTATE", - 0x66, - 0x5F5E1000, - Zero - }, - - Package (0x04) - { - "PSTATE", - 0x67, - 0x53724E00, - Zero - }, - - Package (0x04) - { - "PSTATE", - 0x68, - 0x47868C00, - Zero - }, - - Package (0x04) - { - "PSTATE", - 0x69, - 0x3B9ACA00, - Zero - }, - - Package (0x04) - { - "PSTATE", - 0x6A, - 0x2FAF0800, - One - }, - - Package (0x04) - { - "PSTATE", - 0x6B, - 0x23C34600, - One - }, - - Package (0x04) - { - "PSTATE", - 0x6C, - 0x17D78400, - 0x02 - }, - - Package (0x04) - { - "PSTATE", - 0x6D, - 0x0BEBC200, - 0x02 - }, - - Package (0x04) - { - "PSTATE", - 0x6E, - Zero, - 0x02 - } - } - }, - - Package (0x0D) - { - "COMPONENT", - 0x05, - ToUUID ("cc4d73fa-c3c2-4c7e-a217-d468f4611bbd") /* Unknown UUID */, - "CRYPTO_POWER_STATES", - "HW_BLOCK_NONE", - Package (0x02) - { - "ENGINE", - "CRYPTO" - }, - - 0x02, - 0x03, - Package (0x06) - { - "FSTATE", - Zero, - "ALL_ON", - Zero, - Zero, - 0x03 - }, - - Package (0x06) - { - "FSTATE", - One, - "PWR_OFF", - 0x000186A0, - Zero, - One - }, - - Package (0x0A) - { - "PSTATE_SET", - Zero, - "CRYPTO_RESET", - "*", - "RESET", - 0x02, - Zero, - Zero, - Package (0x04) - { - "PSTATE", - Zero, - One, - 0x02 - }, - - Package (0x04) - { - "PSTATE", - One, - Zero, - 0x02 - } - }, - - Package (0x0A) - { - "PSTATE_SET", - One, - "CRYPTO_FOOTSWITCH_OVERRIDE", - "*", - "FOOTSWITCH_OVERRIDE", - 0x02, - One, - Zero, - Package (0x04) - { - "PSTATE", - Zero, - One, - 0x02 - }, - - Package (0x04) - { - "PSTATE", - One, - Zero, - 0x02 - } - }, - - Package (0x09) - { - "PSTATE_SET", - 0x02, - "CRYPTO_CORE_CLOCK_CONTROL", - "*", - "CORE_CLOCK", - One, - Zero, - Zero, - Package (0x04) - { - "PSTATE", - Zero, - Zero, - Zero - } - } - }, - - Package (0x10) - { - "COMPONENT", - 0x06, - ToUUID ("e6be5096-55ec-4891-884b-0760bfc533b6") /* Unknown UUID */, - "VIDEO_ENCODER_POWER_STATES", - "HW_BLOCK_VIDEO", - Package (0x02) - { - "ENGINE", - "VIDEO_ENCODER" - }, - - 0x03, - 0x04, - Package (0x06) - { - "FSTATE", - Zero, - "ALL_ON", - Zero, - Zero, - 0x03 - }, - - Package (0x06) - { - "FSTATE", - One, - "CLK_OFF", - 0x2710, - Zero, - 0x02 - }, - - Package (0x06) - { - "FSTATE", - 0x02, - "PWR_OFF", - 0x000186A0, - Zero, - One - }, - - Package (0x0A) - { - "PSTATE_SET", - Zero, - "VIDEO_ENCODER_RESET", - "*", - "RESET", - 0x02, - Zero, - Zero, - Package (0x04) - { - "PSTATE", - Zero, - One, - 0x02 - }, - - Package (0x04) - { - "PSTATE", - One, - Zero, - 0x02 - } - }, - - Package (0x0A) - { - "PSTATE_SET", - One, - "VIDEO_ENCODER_FOOTSWITCH_OVERRIDE", - "*", - "FOOTSWITCH_OVERRIDE", - 0x02, - One, - Zero, - Package (0x04) - { - "PSTATE", - Zero, - One, - 0x02 - }, - - Package (0x04) - { - "PSTATE", - One, - Zero, - 0x02 - } - }, - - Package (0x0F) - { - "PSTATE_SET", - 0x02, - "VIDEO_ENCODER_CORE_CLOCK_CONTROL", - "*", - "CORE_CLOCK", - 0x07, - 0x04, - Zero, - Package (0x04) - { - "PSTATE", - Zero, - 0x1FC4EF40, - Zero - }, - - Package (0x04) - { - "PSTATE", - One, - 0x1A76E700, - One - }, - - Package (0x04) - { - "PSTATE", - 0x02, - 0x18148D00, - 0x02 - }, - - Package (0x04) - { - "PSTATE", - 0x03, - 0x13AB6680, - 0x02 - }, - - Package (0x04) - { - "PSTATE", - 0x04, - 0x0BEBC200, - 0x02 - }, - - Package (0x04) - { - "PSTATE", - 0x05, - 0x05F5E100, - 0x02 - }, - - Package (0x04) - { - "PSTATE", - 0x06, - Zero, - 0x03 - } - }, - - Package (0x77) - { - "PSTATE_SET", - 0x03, - "VIDEO_ENCODER_AXI_PORT_BW", - "*", - "BANDWIDTH", - 0x6F, - 0x6C, - Zero, - Package (0x04) - { - "PSTATE", - Zero, - 0x000000051F4D5C00, - Zero - }, - - Package (0x04) - { - "PSTATE", - One, - 0x0000000513619A00, - Zero - }, - - Package (0x04) - { - "PSTATE", - 0x02, - 0x000000050775D800, - Zero - }, - - Package (0x04) - { - "PSTATE", - 0x03, - 0x00000004FB8A1600, - Zero - }, - - Package (0x04) - { - "PSTATE", - 0x04, - 0x00000004EF9E5400, - Zero - }, - - Package (0x04) - { - "PSTATE", - 0x05, - 0x00000004E3B29200, - Zero - }, - - Package (0x04) - { - "PSTATE", - 0x06, - 0x00000004D7C6D000, - Zero - }, - - Package (0x04) - { - "PSTATE", - 0x07, - 0x00000004CBDB0E00, - Zero - }, - - Package (0x04) - { - "PSTATE", - 0x08, - 0x00000004BFEF4C00, - Zero - }, - - Package (0x04) - { - "PSTATE", - 0x09, - 0x00000004B4038A00, - Zero - }, - - Package (0x04) - { - "PSTATE", - 0x0A, - 0x00000004A817C800, - Zero - }, - - Package (0x04) - { - "PSTATE", - 0x0B, - 0x000000049C2C0600, - Zero - }, - - Package (0x04) - { - "PSTATE", - 0x0C, - 0x0000000490404400, - Zero - }, - - Package (0x04) - { - "PSTATE", - 0x0D, - 0x0000000484548200, - Zero - }, - - Package (0x04) - { - "PSTATE", - 0x0E, - 0x000000047868C000, - Zero - }, - - Package (0x04) - { - "PSTATE", - 0x0F, - 0x000000046C7CFE00, - Zero - }, - - Package (0x04) - { - "PSTATE", - 0x10, - 0x0000000460913C00, - Zero - }, - - Package (0x04) - { - "PSTATE", - 0x11, - 0x0000000454A57A00, - Zero - }, - - Package (0x04) - { - "PSTATE", - 0x12, - 0x0000000448B9B800, - Zero - }, - - Package (0x04) - { - "PSTATE", - 0x13, - 0x000000043CCDF600, - Zero - }, - - Package (0x04) - { - "PSTATE", - 0x14, - 0x0000000430E23400, - Zero - }, - - Package (0x04) - { - "PSTATE", - 0x15, - 0x0000000424F67200, - Zero - }, - - Package (0x04) - { - "PSTATE", - 0x16, - 0x00000004190AB000, - Zero - }, - - Package (0x04) - { - "PSTATE", - 0x17, - 0x000000040D1EEE00, - Zero - }, - - Package (0x04) - { - "PSTATE", - 0x18, - 0x0000000401332C00, - Zero - }, - - Package (0x04) - { - "PSTATE", - 0x19, - 0x00000003F5476A00, - Zero - }, - - Package (0x04) - { - "PSTATE", - 0x1A, - 0x00000003E95BA800, - Zero - }, - - Package (0x04) - { - "PSTATE", - 0x1B, - 0x00000003DD6FE600, - Zero - }, - - Package (0x04) - { - "PSTATE", - 0x1C, - 0x00000003D1842400, - Zero - }, - - Package (0x04) - { - "PSTATE", - 0x1D, - 0x00000003C5986200, - Zero - }, - - Package (0x04) - { - "PSTATE", - 0x1E, - 0x00000003B9ACA000, - Zero - }, - - Package (0x04) - { - "PSTATE", - 0x1F, - 0x00000003ADC0DE00, - Zero - }, - - Package (0x04) - { - "PSTATE", - 0x20, - 0x00000003A1D51C00, - Zero - }, - - Package (0x04) - { - "PSTATE", - 0x21, - 0x0000000395E95A00, - Zero - }, - - Package (0x04) - { - "PSTATE", - 0x22, - 0x0000000389FD9800, - Zero - }, - - Package (0x04) - { - "PSTATE", - 0x23, - 0x000000037E11D600, - Zero - }, - - Package (0x04) - { - "PSTATE", - 0x24, - 0x0000000372261400, - Zero - }, - - Package (0x04) - { - "PSTATE", - 0x25, - 0x00000003663A5200, - Zero - }, - - Package (0x04) - { - "PSTATE", - 0x26, - 0x000000035A4E9000, - Zero - }, - - Package (0x04) - { - "PSTATE", - 0x27, - 0x000000034E62CE00, - Zero - }, - - Package (0x04) - { - "PSTATE", - 0x28, - 0x0000000342770C00, - Zero - }, - - Package (0x04) - { - "PSTATE", - 0x29, - 0x00000003368B4A00, - Zero - }, - - Package (0x04) - { - "PSTATE", - 0x2A, - 0x000000032A9F8800, - Zero - }, - - Package (0x04) - { - "PSTATE", - 0x2B, - 0x000000031EB3C600, - Zero - }, - - Package (0x04) - { - "PSTATE", - 0x2C, - 0x0000000312C80400, - Zero - }, - - Package (0x04) - { - "PSTATE", - 0x2D, - 0x0000000306DC4200, - Zero - }, - - Package (0x04) - { - "PSTATE", - 0x2E, - 0x00000002FAF08000, - Zero - }, - - Package (0x04) - { - "PSTATE", - 0x2F, - 0x00000002EF04BE00, - Zero - }, - - Package (0x04) - { - "PSTATE", - 0x30, - 0x00000002E318FC00, - Zero - }, - - Package (0x04) - { - "PSTATE", - 0x31, - 0x00000002D72D3A00, - Zero - }, - - Package (0x04) - { - "PSTATE", - 0x32, - 0x00000002CB417800, - Zero - }, - - Package (0x04) - { - "PSTATE", - 0x33, - 0x00000002BF55B600, - Zero - }, - - Package (0x04) - { - "PSTATE", - 0x34, - 0x00000002B369F400, - Zero - }, - - Package (0x04) - { - "PSTATE", - 0x35, - 0x00000002A77E3200, - Zero - }, - - Package (0x04) - { - "PSTATE", - 0x36, - 0x000000029B927000, - Zero - }, - - Package (0x04) - { - "PSTATE", - 0x37, - 0x000000028FA6AE00, - Zero - }, - - Package (0x04) - { - "PSTATE", - 0x38, - 0x0000000283BAEC00, - Zero - }, - - Package (0x04) - { - "PSTATE", - 0x39, - 0x0000000277CF2A00, - Zero - }, - - Package (0x04) - { - "PSTATE", - 0x3A, - 0x000000026BE36800, - Zero - }, - - Package (0x04) - { - "PSTATE", - 0x3B, - 0x000000025FF7A600, - Zero - }, - - Package (0x04) - { - "PSTATE", - 0x3C, - 0x00000002540BE400, - Zero - }, - - Package (0x04) - { - "PSTATE", - 0x3D, - 0x0000000248202200, - Zero - }, - - Package (0x04) - { - "PSTATE", - 0x3E, - 0x000000023C346000, - Zero - }, - - Package (0x04) - { - "PSTATE", - 0x3F, - 0x0000000230489E00, - Zero - }, - - Package (0x04) - { - "PSTATE", - 0x40, - 0x00000002245CDC00, - Zero - }, - - Package (0x04) - { - "PSTATE", - 0x41, - 0x0000000218711A00, - Zero - }, - - Package (0x04) - { - "PSTATE", - 0x42, - 0x000000020C855800, - Zero - }, - - Package (0x04) - { - "PSTATE", - 0x43, - 0x0000000200999600, - Zero - }, - - Package (0x04) - { - "PSTATE", - 0x44, - 0x00000001F4ADD400, - Zero - }, - - Package (0x04) - { - "PSTATE", - 0x45, - 0x00000001E8C21200, - Zero - }, - - Package (0x04) - { - "PSTATE", - 0x46, - 0x00000001DCD65000, - Zero - }, - - Package (0x04) - { - "PSTATE", - 0x47, - 0x00000001D0EA8E00, - Zero - }, - - Package (0x04) - { - "PSTATE", - 0x48, - 0x00000001C4FECC00, - Zero - }, - - Package (0x04) - { - "PSTATE", - 0x49, - 0x00000001B9130A00, - Zero - }, - - Package (0x04) - { - "PSTATE", - 0x4A, - 0x00000001AD274800, - Zero - }, - - Package (0x04) - { - "PSTATE", - 0x4B, - 0x00000001A13B8600, - Zero - }, - - Package (0x04) - { - "PSTATE", - 0x4C, - 0x00000001954FC400, - Zero - }, - - Package (0x04) - { - "PSTATE", - 0x4D, - 0x0000000189640200, - Zero - }, - - Package (0x04) - { - "PSTATE", - 0x4E, - 0x000000017D784000, - Zero - }, - - Package (0x04) - { - "PSTATE", - 0x4F, - 0x00000001718C7E00, - Zero - }, - - Package (0x04) - { - "PSTATE", - 0x50, - 0x0000000165A0BC00, - Zero - }, - - Package (0x04) - { - "PSTATE", - 0x51, - 0x0000000159B4FA00, - Zero - }, - - Package (0x04) - { - "PSTATE", - 0x52, - 0x000000014DC93800, - Zero - }, - - Package (0x04) - { - "PSTATE", - 0x53, - 0x0000000141DD7600, - Zero - }, - - Package (0x04) - { - "PSTATE", - 0x54, - 0x0000000135F1B400, - Zero - }, - - Package (0x04) - { - "PSTATE", - 0x55, - 0x000000012A05F200, - Zero - }, - - Package (0x04) - { - "PSTATE", - 0x56, - 0x000000011E1A3000, - Zero - }, - - Package (0x04) - { - "PSTATE", - 0x57, - 0x00000001122E6E00, - Zero - }, - - Package (0x04) - { - "PSTATE", - 0x58, - 0x000000010642AC00, - Zero - }, - - Package (0x04) - { - "PSTATE", - 0x59, - 0xFA56EA00, - Zero - }, - - Package (0x04) - { - "PSTATE", - 0x5A, - 0xEE6B2800, - Zero - }, - - Package (0x04) - { - "PSTATE", - 0x5B, - 0xE27F6600, - Zero - }, - - Package (0x04) - { - "PSTATE", - 0x5C, - 0xD693A400, - Zero - }, - - Package (0x04) - { - "PSTATE", - 0x5D, - 0xCAA7E200, - Zero - }, - - Package (0x04) - { - "PSTATE", - 0x5E, - 0xBEBC2000, - Zero - }, - - Package (0x04) - { - "PSTATE", - 0x5F, - 0xB2D05E00, - Zero - }, - - Package (0x04) - { - "PSTATE", - 0x60, - 0xA6E49C00, - Zero - }, - - Package (0x04) - { - "PSTATE", - 0x61, - 0x9AF8DA00, - Zero - }, - - Package (0x04) - { - "PSTATE", - 0x62, - 0x8F0D1800, - Zero - }, - - Package (0x04) - { - "PSTATE", - 0x63, - 0x83215600, - Zero - }, - - Package (0x04) - { - "PSTATE", - 0x64, - 0x77359400, - Zero - }, - - Package (0x04) - { - "PSTATE", - 0x65, - 0x6B49D200, - Zero - }, - - Package (0x04) - { - "PSTATE", - 0x66, - 0x5F5E1000, - Zero - }, - - Package (0x04) - { - "PSTATE", - 0x67, - 0x53724E00, - Zero - }, - - Package (0x04) - { - "PSTATE", - 0x68, - 0x47868C00, - Zero - }, - - Package (0x04) - { - "PSTATE", - 0x69, - 0x3B9ACA00, - Zero - }, - - Package (0x04) - { - "PSTATE", - 0x6A, - 0x2FAF0800, - One - }, - - Package (0x04) - { - "PSTATE", - 0x6B, - 0x23C34600, - One - }, - - Package (0x04) - { - "PSTATE", - 0x6C, - 0x17D78400, - 0x02 - }, - - Package (0x04) - { - "PSTATE", - 0x6D, - 0x0BEBC200, - 0x02 - }, - - Package (0x04) - { - "PSTATE", - 0x6E, - Zero, - 0x02 - } - }, - - Package (0x03) - { - "PROVIDERS", - One, - Package (0x01) - { - 0x04 - } - } - }, - - Package (0x0F) - { - "COMPONENT", - 0x07, - ToUUID ("c42be628-d6bc-4755-bfd5-5af776797228") /* Unknown UUID */, - "SECDISPLAY_POWER_STATES", - "HW_BLOCK_MDP", - Package (0x02) - { - "DISPLAY", - "INTERNAL2" - }, - - 0x02, - 0x05, - Package (0x06) - { - "FSTATE", - Zero, - "ALL_ON", - Zero, - Zero, - 0x02 - }, - - Package (0x06) - { - "FSTATE", - One, - "PWR_OFF", - One, - One, - One - }, - - Package (0x0A) - { - "PSTATE_SET", - Zero, - "INTERNAL2_RESET", - "*", - "RESET", - 0x02, - Zero, - Zero, - Package (0x04) - { - "PSTATE", - Zero, - One, - 0x02 - }, - - Package (0x04) - { - "PSTATE", - One, - Zero, - 0x02 - } - }, - - Package (0x0A) - { - "PSTATE_SET", - One, - "INTERNAL2_FOOTSWITCH_OVERRIDE", - "*", - "FOOTSWITCH_OVERRIDE", - 0x02, - 0xFFFFFFFF, - Zero, - Package (0x04) - { - "PSTATE", - Zero, - One, - 0x02 - }, - - Package (0x04) - { - "PSTATE", - One, - Zero, - 0x02 - } - }, - - Package (0x0D) - { - "PSTATE_SET", - 0x02, - "INTERNAL2_SCAN_CONTROL", - "*", - "DISPLAY_SOURCE_SCAN_CTRL", - 0x05, - Zero, - Zero, - Package (0x04) - { - "PSTATE", - Zero, - 0x04, - 0x02 - }, - - Package (0x04) - { - "PSTATE", - One, - 0x03, - 0x02 - }, - - Package (0x04) - { - "PSTATE", - 0x02, - 0x02, - 0x02 - }, - - Package (0x04) - { - "PSTATE", - 0x03, - One, - 0x02 - }, - - Package (0x04) - { - "PSTATE", - 0x04, - Zero, - 0x02 - } - }, - - Package (0x15) - { - "PSTATE_SET", - 0x03, - "INTERNAL2_CORE_CLOCK_CONTROL", - "*", - "CORE_CLOCK", - 0x0D, - 0x04, - Zero, - Package (0x04) - { - "PSTATE", - Zero, - 0x19A14780, - One - }, - - Package (0x04) - { - "PSTATE", - One, - 0x18964020, - One - }, - - Package (0x04) - { - "PSTATE", - 0x02, - 0x14810600, - 0x02 - }, - - Package (0x04) - { - "PSTATE", - 0x03, - 0x11E1A300, - 0x02 - }, - - Package (0x04) - { - "PSTATE", - 0x04, - 0x10642AC0, - 0x02 - }, - - Package (0x04) - { - "PSTATE", - 0x05, - 0x0BEBC200, - 0x02 - }, - - Package (0x04) - { - "PSTATE", - 0x06, - 0x0A37CADB, - 0x03 - }, - - Package (0x04) - { - "PSTATE", - 0x07, - 0x09D5B340, - 0x03 - }, - - Package (0x04) - { - "PSTATE", - 0x08, - 0x08F0D180, - 0x03 - }, - - Package (0x04) - { - "PSTATE", - 0x09, - 0x05F5E100, - 0x03 - }, - - Package (0x04) - { - "PSTATE", - 0x0A, - 0x051BD4B0, - 0x03 - }, - - Package (0x04) - { - "PSTATE", - 0x0B, - 0x0124F800, - 0x03 - }, - - Package (0x04) - { - "PSTATE", - 0x0C, - Zero, - 0x03 - } - }, - - Package (0x33) - { - "PSTATE_SET", - 0x04, - "INTERNAL2_EBI_BANDWIDTH", - "*", - "BANDWIDTH", - 0x2B, - 0x1F, - Zero, - Package (0x04) - { - "PSTATE", - Zero, - 0x000000031A4A9F80, - Zero - }, - - Package (0x04) - { - "PSTATE", - One, - 0x0000000302731B80, - Zero - }, - - Package (0x04) - { - "PSTATE", - 0x02, - 0x00000002EA9B9780, - Zero - }, - - Package (0x04) - { - "PSTATE", - 0x03, - 0x00000002D2C41380, - Zero - }, - - Package (0x04) - { - "PSTATE", - 0x04, - 0x00000002BAEC8F80, - Zero - }, - - Package (0x04) - { - "PSTATE", - 0x05, - 0x00000002A3150B80, - Zero - }, - - Package (0x04) - { - "PSTATE", - 0x06, - 0x000000028B3D8780, - Zero - }, - - Package (0x04) - { - "PSTATE", - 0x07, - 0x0000000273660380, - Zero - }, - - Package (0x04) - { - "PSTATE", - 0x08, - 0x000000025B8E7F80, - One - }, - - Package (0x04) - { - "PSTATE", - 0x09, - 0x000000023C346000, - One - }, - - Package (0x04) - { - "PSTATE", - 0x0A, - 0x00000002245CDC00, - One - }, - - Package (0x04) - { - "PSTATE", - 0x0B, - 0x000000020C855800, - One - }, - - Package (0x04) - { - "PSTATE", - 0x0C, - 0x00000001F4ADD400, - One - }, - - Package (0x04) - { - "PSTATE", - 0x0D, - 0x00000001DCD65000, - One - }, - - Package (0x04) - { - "PSTATE", - 0x0E, - 0x00000001C4FECC00, - One - }, - - Package (0x04) - { - "PSTATE", - 0x0F, - 0x00000001AD274800, - One - }, - - Package (0x04) - { - "PSTATE", - 0x10, - 0x00000001954FC400, - One - }, - - Package (0x04) - { - "PSTATE", - 0x11, - 0x000000017D784000, - One - }, - - Package (0x04) - { - "PSTATE", - 0x12, - 0x0000000165A0BC00, - One - }, - - Package (0x04) - { - "PSTATE", - 0x13, - 0x000000014DC93800, - One - }, - - Package (0x04) - { - "PSTATE", - 0x14, - 0x0000000135F1B400, - 0x02 - }, - - Package (0x04) - { - "PSTATE", - 0x15, - 0x000000011E1A3000, - 0x02 - }, - - Package (0x04) - { - "PSTATE", - 0x16, - 0x000000010642AC00, - 0x02 - }, - - Package (0x04) - { - "PSTATE", - 0x17, - 0xEE6B2800, - 0x02 - }, - - Package (0x04) - { - "PSTATE", - 0x18, - 0xD693A400, - 0x02 - }, - - Package (0x04) - { - "PSTATE", - 0x19, - 0xBEBC2000, - 0x02 - }, - - Package (0x04) - { - "PSTATE", - 0x1A, - 0xA6E49C00, - 0x02 - }, - - Package (0x04) - { - "PSTATE", - 0x1B, - 0x8F0D1800, - 0x02 - }, - - Package (0x04) - { - "PSTATE", - 0x1C, - 0x77359400, - 0x02 - }, - - Package (0x04) - { - "PSTATE", - 0x1D, - 0x5F5E1000, - 0x02 - }, - - Package (0x04) - { - "PSTATE", - 0x1E, - 0x59682F00, - 0x02 - }, - - Package (0x04) - { - "PSTATE", - 0x1F, - 0x53724E00, - 0x02 - }, - - Package (0x04) - { - "PSTATE", - 0x20, - 0x4D7C6D00, - 0x02 - }, - - Package (0x04) - { - "PSTATE", - 0x21, - 0x47868C00, - 0x02 - }, - - Package (0x04) - { - "PSTATE", - 0x22, - 0x4190AB00, - 0x02 - }, - - Package (0x04) - { - "PSTATE", - 0x23, - 0x3B9ACA00, - 0x02 - }, - - Package (0x04) - { - "PSTATE", - 0x24, - 0x35A4E900, - 0x02 - }, - - Package (0x04) - { - "PSTATE", - 0x25, - 0x2FAF0800, - 0x02 - }, - - Package (0x04) - { - "PSTATE", - 0x26, - 0x29B92700, - 0x02 - }, - - Package (0x04) - { - "PSTATE", - 0x27, - 0x23C34600, - 0x02 - }, - - Package (0x04) - { - "PSTATE", - 0x28, - 0x1DCD6500, - 0x02 - }, - - Package (0x04) - { - "PSTATE", - 0x29, - 0x17D78400, - 0x02 - }, - - Package (0x04) - { - "PSTATE", - 0x2A, - Zero, - 0x02 - } - } - }, - - Package (0x0E) - { - "COMPONENT", - 0x08, - ToUUID ("818daed2-9e63-49d5-bd12-b0951f7b0f6b") /* Unknown UUID */, - "DP_POWER_STATES", - "HW_BLOCK_MDP", - Package (0x02) - { - "DISPLAY", - "EXTERNAL1" - }, - - 0x02, - 0x04, - Package (0x06) - { - "FSTATE", - Zero, - "ALL_ON", - Zero, - Zero, - 0x02 - }, - - Package (0x06) - { - "FSTATE", - One, - "PWR_OFF", - One, - One, - One - }, - - Package (0x0A) - { - "PSTATE_SET", - Zero, - "EXTERNAL1_RESET", - "*", - "RESET", - 0x02, - Zero, - Zero, - Package (0x04) - { - "PSTATE", - Zero, - One, - 0x02 - }, - - Package (0x04) - { - "PSTATE", - One, - Zero, - 0x02 - } - }, - - Package (0x0A) - { - "PSTATE_SET", - One, - "EXTERNAL1_FOOTSWITCH_OVERRIDE", - "*", - "FOOTSWITCH_OVERRIDE", - 0x02, - 0xFFFFFFFF, - Zero, - Package (0x04) - { - "PSTATE", - Zero, - One, - 0x02 - }, - - Package (0x04) - { - "PSTATE", - One, - Zero, - 0x02 - } - }, - - Package (0x15) - { - "PSTATE_SET", - 0x02, - "EXTERNAL1_CORE_CLOCK_CONTROL", - "*", - "CORE_CLOCK", - 0x0D, - 0x04, - Zero, - Package (0x04) - { - "PSTATE", - Zero, - 0x19A14780, - One - }, - - Package (0x04) - { - "PSTATE", - One, - 0x18964020, - One - }, - - Package (0x04) - { - "PSTATE", - 0x02, - 0x14810600, - 0x02 - }, - - Package (0x04) - { - "PSTATE", - 0x03, - 0x11E1A300, - 0x02 - }, - - Package (0x04) - { - "PSTATE", - 0x04, - 0x10642AC0, - 0x02 - }, - - Package (0x04) - { - "PSTATE", - 0x05, - 0x0BEBC200, - 0x02 - }, - - Package (0x04) - { - "PSTATE", - 0x06, - 0x0A37CADB, - 0x03 - }, - - Package (0x04) - { - "PSTATE", - 0x07, - 0x09D5B340, - 0x03 - }, - - Package (0x04) - { - "PSTATE", - 0x08, - 0x08F0D180, - 0x03 - }, - - Package (0x04) - { - "PSTATE", - 0x09, - 0x05F5E100, - 0x03 - }, - - Package (0x04) - { - "PSTATE", - 0x0A, - 0x051BD4B0, - 0x03 - }, - - Package (0x04) - { - "PSTATE", - 0x0B, - 0x0124F800, - 0x03 - }, - - Package (0x04) - { - "PSTATE", - 0x0C, - Zero, - 0x03 - } - }, - - Package (0x33) - { - "PSTATE_SET", - 0x03, - "EXTERNAL1_EBI_BANDWIDTH", - "*", - "BANDWIDTH", - 0x2B, - 0x1F, - Zero, - Package (0x04) - { - "PSTATE", - Zero, - 0x000000031A4A9F80, - Zero - }, - - Package (0x04) - { - "PSTATE", - One, - 0x0000000302731B80, - Zero - }, - - Package (0x04) - { - "PSTATE", - 0x02, - 0x00000002EA9B9780, - Zero - }, - - Package (0x04) - { - "PSTATE", - 0x03, - 0x00000002D2C41380, - Zero - }, - - Package (0x04) - { - "PSTATE", - 0x04, - 0x00000002BAEC8F80, - Zero - }, - - Package (0x04) - { - "PSTATE", - 0x05, - 0x00000002A3150B80, - Zero - }, - - Package (0x04) - { - "PSTATE", - 0x06, - 0x000000028B3D8780, - Zero - }, - - Package (0x04) - { - "PSTATE", - 0x07, - 0x0000000273660380, - Zero - }, - - Package (0x04) - { - "PSTATE", - 0x08, - 0x000000025B8E7F80, - One - }, - - Package (0x04) - { - "PSTATE", - 0x09, - 0x000000023C346000, - One - }, - - Package (0x04) - { - "PSTATE", - 0x0A, - 0x00000002245CDC00, - One - }, - - Package (0x04) - { - "PSTATE", - 0x0B, - 0x000000020C855800, - One - }, - - Package (0x04) - { - "PSTATE", - 0x0C, - 0x00000001F4ADD400, - One - }, - - Package (0x04) - { - "PSTATE", - 0x0D, - 0x00000001DCD65000, - One - }, - - Package (0x04) - { - "PSTATE", - 0x0E, - 0x00000001C4FECC00, - One - }, - - Package (0x04) - { - "PSTATE", - 0x0F, - 0x00000001AD274800, - One - }, - - Package (0x04) - { - "PSTATE", - 0x10, - 0x00000001954FC400, - One - }, - - Package (0x04) - { - "PSTATE", - 0x11, - 0x000000017D784000, - One - }, - - Package (0x04) - { - "PSTATE", - 0x12, - 0x0000000165A0BC00, - One - }, - - Package (0x04) - { - "PSTATE", - 0x13, - 0x000000014DC93800, - One - }, - - Package (0x04) - { - "PSTATE", - 0x14, - 0x0000000135F1B400, - 0x02 - }, - - Package (0x04) - { - "PSTATE", - 0x15, - 0x000000011E1A3000, - 0x02 - }, - - Package (0x04) - { - "PSTATE", - 0x16, - 0x000000010642AC00, - 0x02 - }, - - Package (0x04) - { - "PSTATE", - 0x17, - 0xEE6B2800, - 0x02 - }, - - Package (0x04) - { - "PSTATE", - 0x18, - 0xD693A400, - 0x02 - }, - - Package (0x04) - { - "PSTATE", - 0x19, - 0xBEBC2000, - 0x02 - }, - - Package (0x04) - { - "PSTATE", - 0x1A, - 0xA6E49C00, - 0x02 - }, - - Package (0x04) - { - "PSTATE", - 0x1B, - 0x8F0D1800, - 0x02 - }, - - Package (0x04) - { - "PSTATE", - 0x1C, - 0x77359400, - 0x02 - }, - - Package (0x04) - { - "PSTATE", - 0x1D, - 0x5F5E1000, - 0x02 - }, - - Package (0x04) - { - "PSTATE", - 0x1E, - 0x59682F00, - 0x02 - }, - - Package (0x04) - { - "PSTATE", - 0x1F, - 0x53724E00, - 0x02 - }, - - Package (0x04) - { - "PSTATE", - 0x20, - 0x4D7C6D00, - 0x02 - }, - - Package (0x04) - { - "PSTATE", - 0x21, - 0x47868C00, - 0x02 - }, - - Package (0x04) - { - "PSTATE", - 0x22, - 0x4190AB00, - 0x02 - }, - - Package (0x04) - { - "PSTATE", - 0x23, - 0x3B9ACA00, - 0x02 - }, - - Package (0x04) - { - "PSTATE", - 0x24, - 0x35A4E900, - 0x02 - }, - - Package (0x04) - { - "PSTATE", - 0x25, - 0x2FAF0800, - 0x02 - }, - - Package (0x04) - { - "PSTATE", - 0x26, - 0x29B92700, - 0x02 - }, - - Package (0x04) - { - "PSTATE", - 0x27, - 0x23C34600, - 0x02 - }, - - Package (0x04) - { - "PSTATE", - 0x28, - 0x1DCD6500, - 0x02 - }, - - Package (0x04) - { - "PSTATE", - 0x29, - 0x17D78400, - 0x02 - }, - - Package (0x04) - { - "PSTATE", - 0x2A, - Zero, - 0x02 - } - } - }, - - Package (0x09) - { - "COMPONENT", - 0x09, - ToUUID ("8dd40bdf-6fbd-45ed-8538-711d434b6ba1") /* Unknown UUID */, - "ALWAYS_ACTIVE_WP", - "HW_BLOCK_NONE", - Package (0x01) - { - "UNMANAGED" - }, - - One, - Zero, - Package (0x06) - { - "FSTATE", - Zero, - "ALL_ON", - Zero, - Zero, - One - } - } - }) - Name (P001, Package (0x12) - { - "ENGINE_PSTATE_SET", - 0x03, - "GRAPHICS_FREQ_CONTROL", - "CORE_CLOCK", - 0x09, - One, - "TRUE", - 0x05, - 0x03, - Package (0x06) - { - "PSTATE", - Zero, - 0x2A51BD80, - Zero, - Package (0x02) - { - "GPU", - 0x64 - }, - - Package (0x01) - { - 0x01A0 - } - }, - - Package (0x06) - { - "PSTATE", - One, - 0x283BAEC0, - One, - Package (0x02) - { - "GPU", - 0x5B - }, - - Package (0x01) - { - 0x0180 - } - }, - - Package (0x06) - { - "PSTATE", - 0x02, - 0x23863D00, - 0x02, - Package (0x02) - { - "GPU", - 0x51 - }, - - Package (0x01) - { - 0x0140 - } - }, - - Package (0x06) - { - "PSTATE", - 0x03, - 0x1EFE9200, - 0x03, - Package (0x02) - { - "GPU", - 0x47 - }, - - Package (0x01) - { - 0x0100 - } - }, - - Package (0x06) - { - "PSTATE", - 0x04, - 0x18AD2380, - 0x04, - Package (0x02) - { - "GPU", - 0x38 - }, - - Package (0x01) - { - 0xC0 - } - }, - - Package (0x06) - { - "PSTATE", - 0x05, - 0x14628180, - 0x05, - Package (0x02) - { - "GPU", - 0x2F - }, - - Package (0x01) - { - 0x80 - } - }, - - Package (0x06) - { - "PSTATE", - 0x06, - 0x0EB8EBC0, - 0x06, - Package (0x02) - { - "GPU", - 0x23 - }, - - Package (0x01) - { - 0x40 - } - }, - - Package (0x06) - { - "PSTATE", - 0x07, - 0x0ABA9500, - 0x07, - Package (0x02) - { - "GPU", - Zero - }, - - Package (0x01) - { - 0x30 - } - }, - - Package (0x06) - { - "PSTATE", - 0x08, - Zero, - 0x08, - Package (0x02) - { - "GPU", - Zero - }, - - Package (0x01) - { - Zero - } - } - }) - Name (P002, Package (0x0B) - { - "ENGINE_PSTATE_SET", - 0x03, - "GRAPHICS_FREQ_CONTROL", - "CORE_CLOCK", - 0x02, - One, - "TRUE", - Zero, - Zero, - Package (0x06) - { - "PSTATE", - Zero, - 0x10B07600, - Zero, - Package (0x02) - { - "GPU", - Zero - }, - - Package (0x01) - { - 0x80 - } - }, - - Package (0x06) - { - "PSTATE", - One, - Zero, - One, - Package (0x02) - { - "GPU", - Zero - }, - - Package (0x01) - { - Zero - } - } - }) - If ((SIDV >= 0x00020000)) - { - DerefOf (RBUF [0x04]) [0x0E] = P001 /* \_SB_.GPU0.PMCL.P001 */ - } - Else - { - DerefOf (RBUF [0x04]) [0x0E] = P002 /* \_SB_.GPU0.PMCL.P002 */ - } - - Return (RBUF) /* \_SB_.GPU0.PMCL.RBUF */ - } - - Method (_ROM, 3, NotSerialized) // _ROM: Read-Only Memory - { - - - If (ToInteger (Arg2) == 0){ - Include("displayXML.asl") - } - - - Local2 = PCFG /* \_SB_.GPU0._ROM.PCFG */ - If ((Arg0 >= SizeOf (Local2))) - { - Return (Buffer (One) - { - 0x00 // . - }) - } - Else - { - Local0 = Arg0 - } - - If ((Arg1 > 0x1000)) - { - Local1 = 0x1000 - } - Else - { - Local1 = Arg1 - } - - If (((Local0 + Local1) > SizeOf (Local2))) - { - Local1 = (SizeOf (Local2) - Local0) - } - - CreateField (Local2, (0x08 * Local0), (0x08 * Local1), RBUF) - Return (RBUF) /* \_SB_.GPU0._ROM.RBUF */ - } - - Method (PIGC, 2, NotSerialized) - { - Name (RBUF, Buffer (One) - { - 0x00 // . - }) - Return (RBUF) /* \_SB_.GPU0.PIGC.RBUF */ - } - - Method (PPCC, 2, NotSerialized) - { - Name (RBUF, Buffer (One) - { - 0x00 // . - }) - Return (RBUF) /* \_SB_.GPU0.PPCC.RBUF */ - } - - Method (PGCT, 2, NotSerialized) - { - Name (RBUF, Buffer (One) - { - 0x00 // . - }) - Return (RBUF) /* \_SB_.GPU0.PGCT.RBUF */ - } - - Method (PLGC, 3, NotSerialized) - { - Name (TBUF, Buffer (One) - { - 0x00 // . - }) - If ((Arg1 >= SizeOf (TBUF))) - { - Return (Buffer (One) - { - 0x00 // . - }) - } - Else - { - Local1 = Arg1 - } - - If ((Arg2 > 0x1000)) - { - Local2 = 0x1000 - } - Else - { - Local2 = Arg2 - } - - If (((Local1 + Local2) > SizeOf (TBUF))) - { - Local2 = (SizeOf (TBUF) - Local1) - } - - CreateField (TBUF, (0x08 * Local1), (0x08 * Local2), RBUF) - Return (RBUF) /* \_SB_.GPU0.PLGC.RBUF */ - } - - Method (HSIC, 2, NotSerialized) - { - Name (RBUF, Buffer (One) - { - 0x00 // . - }) - Return (RBUF) /* \_SB_.GPU0.HSIC.RBUF */ - } - - Method (PGMT, 2, NotSerialized) - { - Name (TBUF, Buffer (One) - { - 0x00 // . - }) - If ((Arg0 >= SizeOf (TBUF))) - { - Return (Buffer (One) - { - 0x00 // . - }) - } - Else - { - Local0 = Arg0 - } - - If ((Arg1 > 0x1000)) - { - Local1 = 0x1000 - } - Else - { - Local1 = Arg1 - } - - If (((Local0 + Local1) > SizeOf (TBUF))) - { - Local1 = (SizeOf (TBUF) - Local0) - } - - CreateField (TBUF, (0x08 * Local0), (0x08 * Local1), RBUF) - Return (RBUF) /* \_SB_.GPU0.PGMT.RBUF */ - } - - Method (PWGM, 2, NotSerialized) - { - Name (TBUF, Buffer (One) - { - 0x00 // . - }) - If ((Arg0 >= SizeOf (TBUF))) - { - Return (Buffer (One) - { - 0x00 // . - }) - } - Else - { - Local0 = Arg0 - } - - If ((Arg1 > 0x1000)) - { - Local1 = 0x1000 - } - Else - { - Local1 = Arg1 - } - - If (((Local0 + Local1) > SizeOf (TBUF))) - { - Local1 = (SizeOf (TBUF) - Local0) - } - - CreateField (TBUF, (0x08 * Local0), (0x08 * Local1), RBUF) - Return (RBUF) /* \_SB_.GPU0.PWGM.RBUF */ - } - - Method (PGRT, 2, NotSerialized) - { - Name (RBUF, Buffer (One) - { - 0x00 // . - }) - Return (RBUF) /* \_SB_.GPU0.PGRT.RBUF */ - } - - Method (PBRT, 2, NotSerialized) - { - Name (RBUF, Buffer (One) - { - 0x00 // . - }) - Return (RBUF) /* \_SB_.GPU0.PBRT.RBUF */ - } - - Method (PBRC, 2, NotSerialized) - { - Name (RBUF, Buffer (0x02) - { - 0x00, 0x00 // .. - }) - Return (RBUF) /* \_SB_.GPU0.PBRC.RBUF */ - } - - Method (DITH, 2, NotSerialized) - { - Name (RBUF, Buffer (One) - { - 0x00 // . - }) - Return (RBUF) /* \_SB_.GPU0.DITH.RBUF */ - } - - Method (BLCP, 1, NotSerialized) - { - Name (RBUF, Buffer (0x0100){}) - - Return (RBUF) /* \_SB_.GPU0.BLCP.RBUF */ - } - - Method (ROM2, 3, NotSerialized) - { - Name (PCFG, Buffer (One) - { - 0x00 // . - }) - While (One) - { - If (One) - { - Local2 = PCFG /* \_SB_.GPU0.ROM2.PCFG */ - } - - Break - } - - If ((Arg0 >= SizeOf (Local2))) - { - Return (Buffer (One) - { - 0x00 // . - }) - } - Else - { - Local0 = Arg0 - } - - If ((Arg1 > 0x1000)) - { - Local1 = 0x1000 - } - Else - { - Local1 = Arg1 - } - - If (((Local0 + Local1) > SizeOf (Local2))) - { - Local1 = (SizeOf (Local2) - Local0) - } - - CreateField (Local2, (0x08 * Local0), (0x08 * Local1), RBUF) - Return (RBUF) /* \_SB_.GPU0.ROM2.RBUF */ - } - - Method (IGC2, 2, NotSerialized) - { - Name (RBUF, Buffer (One) - { - 0x00 // . - }) - Return (RBUF) /* \_SB_.GPU0.IGC2.RBUF */ - } - - Method (PCC2, 2, NotSerialized) - { - Name (RBUF, Buffer (One) - { - 0x00 // . - }) - Return (RBUF) /* \_SB_.GPU0.PCC2.RBUF */ - } - - Method (GCT2, 2, NotSerialized) - { - Name (RBUF, Buffer (One) - { - 0x00 // . - }) - Return (RBUF) /* \_SB_.GPU0.GCT2.RBUF */ - } - - Method (LGC2, 3, NotSerialized) - { - Name (TBUF, Buffer (One) - { - 0x00 // . - }) - If ((Arg1 >= SizeOf (TBUF))) - { - Return (Buffer (One) - { - 0x00 // . - }) - } - Else - { - Local1 = Arg1 - } - - If ((Arg2 > 0x1000)) - { - Local2 = 0x1000 - } - Else - { - Local2 = Arg2 - } - - If (((Local1 + Local2) > SizeOf (TBUF))) - { - Local2 = (SizeOf (TBUF) - Local1) - } - - CreateField (TBUF, (0x08 * Local1), (0x08 * Local2), RBUF) - Return (RBUF) /* \_SB_.GPU0.LGC2.RBUF */ - } - - Method (HSI2, 2, NotSerialized) - { - Name (RBUF, Buffer (One) - { - 0x00 // . - }) - Return (RBUF) /* \_SB_.GPU0.HSI2.RBUF */ - } - - Method (GMT2, 2, NotSerialized) - { - Name (TBUF, Buffer (One) - { - 0x00 // . - }) - If ((Arg0 >= SizeOf (TBUF))) - { - Return (Buffer (One) - { - 0x00 // . - }) - } - Else - { - Local0 = Arg0 - } - - If ((Arg1 > 0x1000)) - { - Local1 = 0x1000 - } - Else - { - Local1 = Arg1 - } - - If (((Local0 + Local1) > SizeOf (TBUF))) - { - Local1 = (SizeOf (TBUF) - Local0) - } - - CreateField (TBUF, (0x08 * Local0), (0x08 * Local1), RBUF) - Return (RBUF) /* \_SB_.GPU0.GMT2.RBUF */ - } - - Method (WGM2, 2, NotSerialized) - { - Name (TBUF, Buffer (One) - { - 0x00 // . - }) - If ((Arg0 >= SizeOf (TBUF))) - { - Return (Buffer (One) - { - 0x00 // . - }) - } - Else - { - Local0 = Arg0 - } - - If ((Arg1 > 0x1000)) - { - Local1 = 0x1000 - } - Else - { - Local1 = Arg1 - } - - If (((Local0 + Local1) > SizeOf (TBUF))) - { - Local1 = (SizeOf (TBUF) - Local0) - } - - CreateField (TBUF, (0x08 * Local0), (0x08 * Local1), RBUF) - Return (RBUF) /* \_SB_.GPU0.WGM2.RBUF */ - } - - Method (GRT2, 2, NotSerialized) - { - Name (RBUF, Buffer (One) - { - 0x00 // . - }) - Return (RBUF) /* \_SB_.GPU0.GRT2.RBUF */ - } - - Method (BRT2, 2, NotSerialized) - { - Name (RBUF, Buffer (One) - { - 0x00 // . - }) - Return (RBUF) /* \_SB_.GPU0.BRT2.RBUF */ - } - - Method (DIT2, 2, NotSerialized) - { - Name (RBUF, Buffer (One) - { - 0x00 // . - }) - Return (RBUF) /* \_SB_.GPU0.DIT2.RBUF */ - } - - Method (BLC2, 1, NotSerialized) - { - Name (RBUF, Buffer (0x0100){}) - Return (RBUF) /* \_SB_.GPU0.BLC2.RBUF */ - } - - Method (ROE1, 3, NotSerialized) - { - Name (PCFG, Buffer (One) - { - 0x00 // . - }) - Local2 = PCFG /* \_SB_.GPU0.ROE1.PCFG */ - If ((Arg0 >= SizeOf (Local2))) - { - Return (Buffer (One) - { - 0x00 // . - }) - } - Else - { - Local0 = Arg0 - } - - If ((Arg1 > 0x1000)) - { - Local1 = 0x1000 - } - Else - { - Local1 = Arg1 - } - - If (((Local0 + Local1) > SizeOf (Local2))) - { - Local1 = (SizeOf (Local2) - Local0) - } - - CreateField (Local2, (0x08 * Local0), (0x08 * Local1), RBUF) - Return (RBUF) /* \_SB_.GPU0.ROE1.RBUF */ - } - - Name (_DOD, Package (0x01) // _DOD: Display Output Devices - { - 0x00024321 - }) - Method (_STA, 0, NotSerialized) // _STA: Status - { - Return (0x0F) - } - - Method (CHDV, 0, NotSerialized) - { - Name (CHIF, Package (0x02) - { - One, - Package (0x07) - { - "CHILDDEV", - Zero, - 0x00024321, - "QCOM_AVStream_850", - Zero, - "Qualcomm Camera AVStream Mini Driver", - Package (0x04) - { - "COMPATIBLEIDS", - 0x02, - "VEN_QCOM&DEV__AVSTREAM", - "QCOM_AVSTREAM" - } - } - }) - Return (CHIF) /* \_SB_.GPU0.CHDV.CHIF */ - } - - Method (DPCC, 2, NotSerialized) - { - Return (CCST) /* \_SB_.CCST */ - } - - Method (DPIN, 2, NotSerialized) - { - Return (PINA) /* \_SB_.PINA */ - } - - Method (REGR, 0, NotSerialized) - { - Name (RBUF, Package (0x1B) - { - Package (0x02) - { - "ForceMaxPerf", - Zero - }, - - Package (0x02) - { - "ForceStablePowerSettings", - Zero - }, - - Package (0x02) - { - "ForceActive", - Zero - }, - - Package (0x02) - { - "DeferForceActive", - Zero - }, - - Package (0x02) - { - "PreventPowerCollapse", - Zero - }, - - Package (0x02) - { - "DisableThermalMitigation", - Zero - }, - - Package (0x02) - { - "DisableTzMDSSRestore", - One - }, - - Package (0x02) - { - "UseLowPTForGfxPerProcess", - One - }, - - Package (0x02) - { - "DisableCDI", - One - }, - - Package (0x02) - { - "GPU64bAddrEnabled", - One - }, - - Package (0x02) - { - "MaxPreemptionOffsets", - 0x80 - }, - - Package (0x02) - { - "MaxRequiredDmaQueueEntry", - 0x08 - }, - - Package (0x02) - { - "SupportsSecureInAperture", - One - }, - - Package (0x02) - { - "ZeroFlagSupportInPTE", - One - }, - - Package (0x02) - { - "SupportsCacheCoherency", - One - }, - - Package (0x02) - { - "SupportsSHMBridge", - Zero - }, - - Package (0x02) - { - "SecureCarveoutSize", - 0x00200000 - }, - - Package (0x02) - { - "UBWCEnable", - Zero - }, - - Package (0x02) - { - "allowDrmAbove1080p", - One - }, - - Package (0x02) - { - "ZeroPageLowAddr", - 0x85F00000 - }, - - Package (0x02) - { - "ZeroPageHighAddr", - Zero - }, - - Package (0x02) - { - "KeepUefiBuffer", - One - }, - - Package (0x06) - { - "GRAPHICS", - Package (0x02) - { - "ForceActive", - Zero - }, - - Package (0x02) - { - "EnableSystemCache", - One - }, - - Package (0x02) - { - "EnableSysCacheForGpuhtw", - One - }, - - Package (0x0A) - { - "DCVS", - Package (0x02) - { - "Enable", - One - }, - - Package (0x02) - { - "IncreaseFilterBw", - 0x00020000 - }, - - Package (0x02) - { - "DecreaseFilterBw", - 0x3333 - }, - - Package (0x02) - { - "TargetBusyPct", - 0x55 - }, - - Package (0x02) - { - "SampleRate", - 0x3C - }, - - Package (0x02) - { - "TargetBusyPctOffscreen", - 0x4B - }, - - Package (0x02) - { - "SampleRateOffscreen", - 0x14 - }, - - Package (0x02) - { - "GpuResetValue", - 0x11490C80 - }, - - Package (0x02) - { - "BusResetValue", - 0x04B0 - } - }, - - Package (0x06) - { - "A6x", - Package (0x02) - { - "SleepMode", - Zero - }, - - Package (0x02) - { - "DisableICG", - Zero - }, - - Package (0x02) - { - "DisableGmuCG", - Zero - }, - - Package (0x02) - { - "EnableFallbackToDisableSecureMode", - Zero - }, - - Package (0x02) - { - "DisableCPCrashDump", - Zero - } - } - }, - - Package (0x04) - { - "VIDEO", - Package (0x02) - { - "ForceActive", - Zero - }, - - Package (0x02) - { - "PreventPowerCollapse", - Zero - }, - - Package (0x02) - { - "EnableSystemCache", - One - } - }, - - Package (0x02) - { - "CRYPTO", - Package (0x02) - { - "EnableCryptoVA", - One - } - }, - - Package (0x03) - { - "VIDEO_ENCODER", - Package (0x02) - { - "ForceActive", - Zero - }, - - Package (0x02) - { - "PreventPowerCollapse", - Zero - } - }, - - Package (0x07) - { - "DISPLAY", - Package (0x02) - { - "DisableMiracast", - Zero - }, - - Package (0x02) - { - "EnableOEMDriverDependency", - Zero - }, - - Package (0x02) - { - "EnableBridgeDriverDependency", - Zero - }, - - Package (0x02) - { - "DisableRotator", - Zero - }, - - Package (0x02) - { - "DisableMDPBLT", - One - }, - - Package (0x02) - { - "DisableExternal", - 0x03 - } - } - }) - Return (RBUF) /* \_SB_.GPU0.REGR.RBUF */ - } - } - - Device (SCM0) - { - Name (_HID, "QCOM0214") // _HID: Hardware ID - Alias (PSUB, _SUB) - Name (_UID, Zero) // _UID: Unique ID - } - - Device (TREE) - { - Name (_HID, "QCOM02BB") // _HID: Hardware ID - Alias (PSUB, _SUB) - Name (_UID, Zero) // _UID: Unique ID - Method (MCGT, 0, NotSerialized) - { - Name (TPKG, Package (0x01) - { - Package (0x02) - { - Zero, - Zero - } - }) - DerefOf (TPKG [Zero]) [Zero] = TCMA /* \_SB_.TCMA */ - DerefOf (TPKG [Zero]) [One] = TCML /* \_SB_.TCML */ - Return (TPKG) /* \_SB_.TREE.MCGT.TPKG */ - } - } - - Device (SPMI) - { - Name (_HID, "QCOM0216") // _HID: Hardware ID - Alias (PSUB, _SUB) - Name (_CID, "PNP0CA2") // _CID: Compatible ID - Name (_UID, One) // _UID: Unique ID - Name (_CCA, Zero) // _CCA: Cache Coherency Attribute - Method (_CRS, 0, NotSerialized) // _CRS: Current Resource Settings - { - Name (RBUF, ResourceTemplate () - { - Memory32Fixed (ReadWrite, - 0x0C400000, // Address Base - 0x02800000, // Address Length - ) - }) - Return (RBUF) /* \_SB_.SPMI._CRS.RBUF */ - } - - Method (CONF, 0, NotSerialized) - { - Name (XBUF, Buffer (0x1A) - { - /* 0000 */ 0x00, 0x01, 0x01, 0x01, 0xFF, 0x00, 0x02, 0x00, // ........ - /* 0008 */ 0x0A, 0x07, 0x04, 0x07, 0x01, 0xFF, 0x10, 0x01, // ........ - /* 0010 */ 0x00, 0x01, 0x0C, 0x40, 0x00, 0x00, 0x02, 0x80, // ...@.... - /* 0018 */ 0x00, 0x00 // .. - }) - Return (XBUF) /* \_SB_.SPMI.CONF.XBUF */ - } - } - - Device (GIO0) - { - Name (_HID, "QCOM0217") // _HID: Hardware ID - Alias (\_SB.PSUB, _SUB) - Name (_UID, Zero) // _UID: Unique ID - Method (_CRS, 0, NotSerialized) // _CRS: Current Resource Settings - { - Name (RBUF, ResourceTemplate () - { - Memory32Fixed (ReadWrite, - 0x03400000, // Address Base - 0x00C00000, // Address Length - ) - Interrupt (ResourceConsumer, Level, ActiveHigh, Shared, ,, ) - { - 0x000000F0, - } - Interrupt (ResourceConsumer, Level, ActiveHigh, Shared, ,, ) - { - 0x000000F0, - } - Interrupt (ResourceConsumer, Level, ActiveHigh, Shared, ,, ) - { - 0x000000F0, - } - Interrupt (ResourceConsumer, Edge, ActiveHigh, Shared, ,, ) - { - 0x00000288, - } - Interrupt (ResourceConsumer, Edge, ActiveHigh, Shared, ,, ) - { - 0x00000238, - } - Interrupt (ResourceConsumer, Edge, ActiveHigh, Shared, ,, ) - { - 0x00000286, - } - }) - Return (RBUF) /* \_SB_.GIO0._CRS.RBUF */ - } - - Method (OFNI, 0, NotSerialized) - { - Name (RBUF, Buffer (0x02) - { - 0x96, 0x00 // .. - }) - Return (RBUF) /* \_SB_.GIO0.OFNI.RBUF */ - } - - Name (GABL, Zero) - Method (_REG, 2, NotSerialized) // _REG: Region Availability - { - If ((Arg0 == 0x08)) - { - GABL = Arg1 - } - } - } - - OperationRegion (CP00, SystemMemory, 0x13000000, 0x24) - Field (CP00, DWordAcc, NoLock, Preserve) - { - MVIO, 32, - MV01, 32, - MV02, 32, - MV03, 32, - MV04, 32, - MV11, 32, - MV12, 32, - MV13, 32, - MV14, 32 - } - - OperationRegion (CP01, SystemMemory, 0x01C00000, 0x1004) - Field (CP01, DWordAcc, NoLock, Preserve) - { - PSC0, 32, - Offset (0x20), - PPC0, 32, - PPS0, 32, - Offset (0x1B0), - PLT0, 32, - Offset (0x358), - PSL0, 32, - Offset (0x360), - WBL0, 32, - WBH0, 32, - WLL0, 32, - WLH0, 32, - RBL0, 32, - RBH0, 32, - RLL0, 32, - RLH0, 32, - PPEB, 32, - Offset (0x398), - WBL1, 32, - WBH1, 32, - WLL1, 32, - WLH1, 32, - RBL1, 32, - RBH1, 32, - RLL1, 32, - RLH1, 32, - Offset (0x1000), - PDT0, 32 - } - - OperationRegion (CP02, SystemMemory, 0x01C06000, 0x0188) - Field (CP02, DWordAcc, NoLock, Preserve) - { - Offset (0x0C), - QCB1, 32, - QSEC, 32, - QAP1, 32, - QAP2, 32, - QSP1, 32, - QSP2, 32, - QSS1, 32, - QSS2, 32, - Offset (0x34), - QECE, 32, - QCE1, 32, - QSCC, 32, - QSBE, 32, - Offset (0x48), - QCPI, 32, - Offset (0x5C), - QCED, 32, - QCP0, 32, - Offset (0x68), - QPR0, 32, - Offset (0x70), - QPC0, 32, - Offset (0x80), - QSES, 32, - Offset (0x88), - QCRC, 32, - Offset (0x90), - QCLC, 32, - Offset (0x98), - QC1M, 32, - QC2M, 32, - QC3M, 32, - Offset (0xB0), - QSM0, 32, - Offset (0xB8), - QS1M, 32, - QS2M, 32, - QS3M, 32, - Offset (0xD8), - QIG0, 32, - QIG1, 32, - Offset (0xF0), - QCVT, 32, - QVT1, 32, - QVT2, 32, - Offset (0x11C), - QTT1, 32, - QTT2, 32, - Offset (0x138), - QCCS, 32, - QCHS, 32, - Offset (0x148), - QCD0, 32, - Offset (0x154), - QCCN, 32, - Offset (0x15C), - QCCC, 32, - Offset (0x164), - QMCS, 32, - Offset (0x184), - QCCM, 32 - } - - OperationRegion (CP03, SystemMemory, 0x01C06200, 0xA8) - Field (CP03, DWordAcc, NoLock, Preserve) - { - Offset (0x44), - QTOT, 32, - Offset (0x60), - QTDE, 32, - Offset (0x8C), - QTM1, 32, - Offset (0xA4), - QTL2, 32 - } - - OperationRegion (CP04, SystemMemory, 0x01C06400, 0x016C) - Field (CP04, DWordAcc, NoLock, Preserve) - { - Offset (0x0C), - QRSH, 32, - Offset (0x14), - QRSG, 32, - Offset (0x34), - QRUS, 32, - Offset (0x3C), - QRFL, 32, - Offset (0x44), - QRPC, 32, - Offset (0xD4), - QRC2, 32, - QRC3, 32, - QRC4, 32, - Offset (0xF8), - QRA1, 32, - QRA2, 32, - QRSE, 32, - QRSC, 32, - Offset (0x10C), - QRDC, 32, - Offset (0x11C), - QRIM, 32, - Offset (0x164), - QRM0, 32, - QRM1, 32 - } - - OperationRegion (CP05, SystemMemory, 0x01C06600, 0x70) - Field (CP05, DWordAcc, NoLock, Preserve) - { - Offset (0x2C), - PMDC, 32, - Offset (0x44), - PAC1, 32, - Offset (0x54), - PMC2, 32, - PMC3, 32, - PMC4, 32, - PMC5, 32 - } - - OperationRegion (CP06, SystemMemory, 0x01C06800, 0x0210) - Field (CP06, DWordAcc, NoLock, Preserve) - { - PPSR, 32, - PPDC, 32, - PCST, 32, - Offset (0x54), - PERD, 32, - Offset (0x6C), - PSC4, 32, - Offset (0xA0), - PDTA, 32, - PLTA, 32, - PLCD, 32, - Offset (0xC4), - PFC1, 32, - PFC2, 32, - PFVL, 32, - PFVH, 32, - PFMC, 32, - Offset (0x174), - PPPS, 32, - Offset (0x1A8), - PSDM, 32, - PODA, 32, - PPSC, 32, - Offset (0x1D8), - PRSL, 32, - PDAL, 32, - PDAM, 32, - Offset (0x20C), - PRC1, 32 - } - - OperationRegion (CP07, SystemMemory, 0x60000000, 0x1000) - Field (CP07, DWordAcc, NoLock, Preserve) - { - Offset (0x04), - SCR0, 32, - CRI0, 32, - Offset (0x10), - R0B0, 32, - R0B1, 32, - BNR0, 32, - Offset (0x7C), - LCA0, 32, - LCS0, 32, - Offset (0x88), - SLC0, 32, - Offset (0xA0), - LC20, 32, - Offset (0x8BC), - CSW0, 32, - Offset (0x900), - IAV0, 32, - CR10, 32, - CR20, 32, - ILB0, 32, - IUB0, 32, - ILR0, 32, - ILT0, 32, - IUT0, 32, - Offset (0xF24), - ESC0, 32, - EST0, 32, - Offset (0xFC4), - ECS0, 32 - } - - Method (PPU0, 0, Serialized) - { - Name (TOUT, Zero) - PDT0 = 0x04 - PPDC = One - QECE = 0x14 - QCPI = 0x07 - QCLC = One - QCRC = 0x20 - QCVT = Zero - QVT2 = One - QVT1 = 0xC9 - QTT1 = 0xFF - QTT2 = 0x3F - QMCS = One - QCCN = Zero - QCD0 = 0x0A - QCED = 0x19 - QCE1 = 0x90 - QSM0 = 0x82 - QS3M = 0x02 - QS2M = 0xEA - QS1M = 0xAB - QC3M = Zero - QC2M = 0x0D - QC1M = 0x04 - QCHS = Zero - QCP0 = 0x06 - QPR0 = 0x16 - QPC0 = 0x36 - QCCM = One - QCCC = 0x16 - QCCS = 0x33 - QSCC = 0x02 - QSBE = 0x07 - QSES = 0x04 - QIG1 = Zero - QIG0 = 0x3F - QCB1 = 0x09 - QSEC = One - QSP1 = 0x40 - QSP2 = One - QAP1 = 0x02 - QAP2 = Zero - QSS1 = 0x7E - QSS2 = 0x15 - QTOT = 0x02 - QTL2 = 0x12 - QTDE = 0x10 - QTM1 = 0x06 - QRSC = 0x03 - QRSE = 0x1C - QRDC = 0x14 - QRC2 = 0x0E - QRC3 = 0x04 - QRC4 = 0x1A - QRUS = 0x4B - QRSG = 0x04 - QRSH = 0x04 - QRA1 = 0x71 - QRM0 = 0x59 - QRM1 = 0x59 - QRA2 = 0x80 - QRIM = 0x40 - QRPC = 0x71 - QRFL = 0x40 - PERD = 0x04 - PMDC = 0x52 - PMC2 = 0x50 - PMC4 = 0x1A - PMC5 = 0x06 - PFC2 = 0x83 - PFVL = 0x09 - PFVH = 0xA2 - PFMC = 0x40 - PFC1 = 0x02 - PODA = Zero - PDTA = One - PDAM = Zero - PDAL = 0x20 - PSDM = Zero - PLTA = One - PLCD = 0x73 - PRSL = 0xAA - PPSC = 0x03 - PRC1 = 0x0D - PSC4 = Zero - PAC1 = Zero - If ((SIDV >= 0x00020000)){} - PPDC = 0x03 - PPSR = Zero - PCST = 0x03 - Local0 = PPPS /* \_SB_.PPPS */ - While ((Local0 & 0x40)) - { - Sleep (One) - TOUT++ - If ((TOUT == 0x0F)) - { - Break - } - - Local0 = PPPS /* \_SB_.PPPS */ - } - - If ((TOUT == 0x0F)) - { - Return (One) - } - Else - { - Return (Zero) - } - } - - Method (LTS0, 0, Serialized) - { - Name (TOUT, Zero) - Local0 = LC20 /* \_SB_.LC20 */ - Local0 |= 0x40 - LC20 = Local0 - PLT0 = 0x0100 - Local0 = EST0 /* \_SB_.EST0 */ - While (((Local0 & 0x0400) != 0x0400)) - { - Sleep (One) - TOUT++ - If ((TOUT == 0x96)) - { - Break - } - - Local0 = EST0 /* \_SB_.EST0 */ - } - - If ((TOUT == 0x96)) - { - Return (One) - } - Else - { - Return (Zero) - } - } - - Method (IAT0, 0, Serialized) - { - IAV0 = One - ILB0 = 0x60100000 - IUB0 = Zero - ILR0 = 0x601FFFFF - ILT0 = 0x01000000 - IUT0 = Zero - CR10 = 0x04 - CR20 = 0x80000000 - BNR0 = 0x00010100 - } - - Method (REB0, 2, Serialized) - { - Local0 = PSC0 /* \_SB_.PSC0 */ - Local0 &= 0xFBFFFFFF - PSC0 = Local0 - WBL0 = Arg0 - WBH0 = Zero - WLL0 = Arg1 - WLH0 = Zero - RBL0 = Arg0 - RBH0 = Zero - RLL0 = Arg1 - RLH0 = Zero - Local0 = PSC0 /* \_SB_.PSC0 */ - Local0 |= 0x04000000 - PSC0 = Local0 - } - - Method (EEB0, 2, Serialized) - { - Local0 = PSC0 /* \_SB_.PSC0 */ - Local0 &= 0xBFFFFFFF - PSC0 = Local0 - WBL1 = Arg0 - WBH1 = Zero - WLL1 = Arg1 - WLH1 = Zero - RBL1 = Arg0 - RBH1 = Zero - RLL1 = Arg1 - RLH1 = Zero - Local0 = PSC0 /* \_SB_.PSC0 */ - Local0 |= 0x40000000 - PSC0 = Local0 - } - - Name (E0LT, 0x600FFFFF) - Method (MSC0, 0, Serialized) - { - Local0 = SCR0 /* \_SB_.SCR0 */ - Local0 |= 0x02 - SCR0 = Local0 - PSL0 = 0x01000000 - Local0 = PPC0 /* \_SB_.PPC0 */ - Local0 &= 0xFFFFFFDF - PPC0 = Local0 - CSW0 = One - Local0 = LCA0 /* \_SB_.LCA0 */ - Local0 |= 0x00400000 - Local0 &= 0xFFFFFBFF - Local0 |= 0x0800 - LCA0 = Local0 - Local0 = CRI0 /* \_SB_.CRI0 */ - Local0 &= 0xFFFF - Local0 |= 0x06040000 - CRI0 = Local0 - ECS0 = One - R0B0 = Zero - R0B1 = Zero - ECS0 = Zero - CSW0 = Zero - PPEB = 0x60000000 - REB0 (0x60001000, E0LT) - EEB0 (0x60101000, 0x601FFFFF) - } - - Name (G0D3, Zero) - OperationRegion (CP08, SystemMemory, 0x01C08000, 0x1004) - Field (CP08, DWordAcc, NoLock, Preserve) - { - PSC1, 32, - Offset (0x20), - PPC1, 32, - PPS1, 32, - Offset (0x1B0), - PLT1, 32, - Offset (0x358), - PSL1, 32, - Offset (0x360), - LBW0, 32, - HBW0, 32, - LLW0, 32, - HLW0, 32, - LBR0, 32, - HBR0, 32, - LLR0, 32, - HLR0, 32, - PEB1, 32, - Offset (0x398), - LBW1, 32, - HBW1, 32, - LLW1, 32, - HLW1, 32, - LBR1, 32, - HBR1, 32, - LLR1, 32, - HLR1, 32, - Offset (0x1000), - PDT1, 32 - } - - OperationRegion (CP09, SystemMemory, 0x01C0A000, 0x026C) - Field (CP09, DWordAcc, NoLock, Preserve) - { - Offset (0x14), - HSEC, 32, - HAP1, 32, - HAP2, 32, - HSP1, 32, - HSP2, 32, - HSS1, 32, - HSS2, 32, - Offset (0x34), - HSM1, 32, - HSM2, 32, - Offset (0x54), - HECE, 32, - HCE1, 32, - HSCC, 32, - HSBE, 32, - HPLE, 32, - HCPI, 32, - C1M0, 32, - C2M0, 32, - C3M0, 32, - C1M1, 32, - C2M1, 32, - C3M1, 32, - Offset (0xB4), - HCM0, 32, - HCM1, 32, - Offset (0xC0), - HPR0, 32, - HPR1, 32, - HPR2, 32, - HPC0, 32, - HPC1, 32, - HPC2, 32, - Offset (0xDC), - HSES, 32, - Offset (0xF0), - HRC2, 32, - Offset (0xF8), - HCLC, 32, - Offset (0x100), - HRM0, 32, - Offset (0x108), - HRM1, 32, - Offset (0x11C), - S1M0, 32, - S2M0, 32, - S3M0, 32, - S1M1, 32, - S2M1, 32, - S3M1, 32, - Offset (0x150), - G0M0, 32, - Offset (0x158), - G0M1, 32, - Offset (0x178), - HCVT, 32, - Offset (0x1CC), - HCCS, 32, - HCHS, 32, - Offset (0x1E0), - HCDV, 32, - Offset (0x1E8), - HCCE, 32, - Offset (0x1F0), - HCCC, 32, - Offset (0x1FC), - HMCS, 32, - Offset (0x21C), - HDM1, 32, - Offset (0x224), - HCCM, 32, - HVD1, 32, - HVD2, 32 - } - - OperationRegion (CP10, SystemMemory, 0x01C0A800, 0x02F0) - Field (CP10, DWordAcc, NoLock, Preserve) - { - Offset (0x0C), - L0C0, 32, - L0C1, 32, - L0C2, 32, - L0TE, 32, - Offset (0x60), - L0BM, 32, - L0LM, 32, - Offset (0x7C), - L0PR, 32, - Offset (0xC0), - L0L0, 32, - L0L1, 32, - L0L2, 32, - Offset (0xD0), - L0R1, 32, - L0R2, 32, - L0M0, 32, - L0M1, 32, - L0M2, 32, - Offset (0xFC), - L0CD, 32, - L0VD, 32, - Offset (0x108), - L0X0, 32, - Offset (0x114), - L0TT, 32, - L0OT, 32, - L0RT, 32, - L0ET, 32, - L0VG, 32, - L0DG, 32, - Offset (0x130), - L0EG, 32, - L0OG, 32, - L0PG, 32, - L0IN, 32, - Offset (0x154), - L0EI, 32, - Offset (0x160), - L0DI, 32, - Offset (0x168), - L0B0, 32, - L0B1, 32, - Offset (0x178), - L0T1, 32, - Offset (0x180), - L0RC, 32, - L0F0, 32, - L0F1, 32, - L0F2, 32, - L0S0, 32, - L0S1, 32, - L0S2, 32, - L0SC, 32, - Offset (0x1A4), - L0RB, 32, - Offset (0x1C0), - L0P0, 32, - L0P1, 32, - L0P2, 32, - Offset (0x230), - L0SE, 32, - L0SN, 32, - L0SD, 32, - Offset (0x2A4), - L0DC, 32, - L0ST, 32, - L0RE, 32, - L0PC, 32, - Offset (0x2B8), - L0N0, 32, - Offset (0x2C0), - L0ER, 32, - L0HI, 32, - Offset (0x2CC), - L0RR, 32 - } - - OperationRegion (CP11, SystemMemory, 0x01C0B000, 0x02F0) - Field (CP11, DWordAcc, NoLock, Preserve) - { - Offset (0x0C), - L1C0, 32, - L1C1, 32, - L1C2, 32, - L1TE, 32, - Offset (0x60), - L1BM, 32, - L1LM, 32, - Offset (0x7C), - L1PR, 32, - Offset (0xC0), - L1L0, 32, - L1L1, 32, - L1L2, 32, - Offset (0xD0), - L1R1, 32, - L1R2, 32, - L1M0, 32, - L1M1, 32, - L1M2, 32, - Offset (0xFC), - L1CD, 32, - L1VD, 32, - Offset (0x108), - L1X0, 32, - Offset (0x114), - L1TT, 32, - L1OT, 32, - L1RT, 32, - L1ET, 32, - L1VG, 32, - L1DG, 32, - Offset (0x130), - L1EG, 32, - L1OG, 32, - L1PG, 32, - L1IN, 32, - Offset (0x154), - L1EI, 32, - Offset (0x160), - L1DI, 32, - Offset (0x168), - L1B0, 32, - L1B1, 32, - Offset (0x178), - L1T1, 32, - Offset (0x180), - L1RC, 32, - L1F0, 32, - L1F1, 32, - L1F2, 32, - L1S0, 32, - L1S1, 32, - L1S2, 32, - L1SC, 32, - Offset (0x1A4), - L1RB, 32, - Offset (0x1C0), - L1P0, 32, - L1P1, 32, - L1P2, 32, - Offset (0x230), - L1SE, 32, - L1SN, 32, - L1SD, 32, - Offset (0x2A4), - L1DC, 32, - L1ST, 32, - L1RE, 32, - L1PC, 32, - Offset (0x2B8), - L1N0, 32, - Offset (0x2C0), - L1ER, 32, - L1HI, 32, - Offset (0x2CC), - L1RR, 32 - } - - OperationRegion (CP12, SystemMemory, 0x01C0B800, 0x02DC) - Field (CP12, DWordAcc, NoLock, Preserve) - { - HPSR, 32, - HPDC, 32, - HSTC, 32, - Offset (0x2C), - HTM3, 32, - Offset (0x40), - HTP3, 32, - Offset (0x54), - HTM6, 32, - Offset (0x68), - HTP6, 32, - Offset (0x15C), - HPSG, 32, - Offset (0x174), - HTRC, 32, - Offset (0x2AC), - HPST, 32 - } - - OperationRegion (CP13, SystemMemory, 0x40000000, 0x1000) - Field (CP13, DWordAcc, NoLock, Preserve) - { - Offset (0x04), - SCR1, 32, - CRI1, 32, - Offset (0x10), - R1B0, 32, - R1B1, 32, - BNR1, 32, - Offset (0x7C), - LCA1, 32, - LCS1, 32, - SCA1, 32, - SLC1, 32, - Offset (0xA0), - LC21, 32, - Offset (0x154), - P1PR, 32, - Offset (0x710), - GPLC, 32, - Offset (0x80C), - G32C, 32, - Offset (0x8A8), - GEQC, 32, - GMDC, 32, - Offset (0x8BC), - CSW1, 32, - Offset (0x900), - IAV1, 32, - CR11, 32, - CR21, 32, - ILB1, 32, - IUB1, 32, - ILR1, 32, - ILT1, 32, - IUT1, 32, - Offset (0xF24), - ESC1, 32, - EST1, 32, - Offset (0xFC4), - ECS1, 32 - } - - OperationRegion (CP14, SystemMemory, 0x03971000, 0x10) - Field (CP14, DWordAcc, NoLock, Preserve) - { - C113, 32, - I113, 32, - N113, 32, - S113, 32 - } - - OperationRegion (CP15, SystemMemory, 0x0016B000, 0x1020) - Field (CP15, DWordAcc, NoLock, Preserve) - { - GP0B, 32, - Offset (0x101C), - G0PB, 32 - } - - OperationRegion (CP16, SystemMemory, 0x0018D000, 0x1030) - Field (CP16, DWordAcc, NoLock, Preserve) - { - GP1B, 32, - Offset (0x1014), - G1LB, 32, - Offset (0x101C), - G1PB, 32, - G1NB, 32 - } - - Method (PPU1, 0, Serialized) - { - Name (TOUT, Zero) - PDT1 = 0x04 - HPDC = 0x03 - HSES = 0x27 - HSEC = One - HSP1 = 0x31 - HSP2 = One - HSS1 = 0xDE - HSS2 = 0x07 - HSM1 = 0x4C - HSM2 = 0x06 - HECE = 0x18 - HCE1 = 0xB0 - C1M0 = 0x8C - C2M0 = 0x20 - C1M1 = 0x14 - C2M1 = 0x34 - HCM0 = 0x06 - HCM1 = 0x06 - HPR0 = 0x16 - HPR1 = 0x16 - HPC0 = 0x36 - HPC1 = 0x36 - HRC2 = 0x05 - HCLC = 0x42 - HRM0 = 0x82 - HRM1 = 0x68 - S1M0 = 0x55 - S2M0 = 0x55 - S3M0 = 0x03 - S1M1 = 0xAB - S2M1 = 0xAA - S3M1 = 0x02 - G0M0 = 0x3F - G0M1 = 0x3F - HCVT = 0x10 - HCCS = Zero - HCHS = 0x30 - HCDV = 0x04 - HCCE = 0x73 - HCCC = 0x1C - HMCS = 0x15 - HDM1 = 0x04 - HCCM = One - HVD1 = 0x22 - HVD2 = Zero - L0C0 = Zero - L0TE = 0x0D - L0BM = One - L0LM = 0x3A - L0PR = 0x2F - L0L0 = 0x09 - L0L1 = 0x09 - L0L2 = 0x1A - L0R1 = One - L0R2 = 0x07 - L0M0 = 0x31 - L0M1 = 0x31 - L0M2 = 0x03 - L0CD = 0x02 - L0VD = One - L0X0 = 0x12 - L0TT = 0x25 - L0OT = Zero - L0RT = 0x05 - L0ET = One - L0VG = 0x26 - L0DG = 0x12 - L0EG = 0x04 - L0OG = 0x04 - L0PG = 0x09 - L0EI = 0x15 - L0DI = 0x32 - L0B0 = 0x7F - L0B1 = 0x07 - L0T1 = 0x04 - L0RC = 0x70 - L0F0 = 0x08 - L0F1 = 0x08 - L0F2 = 0x09 - L0S0 = 0x04 - L0S1 = 0x04 - L0S2 = 0x02 - L0SC = 0x0C - L0RB = 0x02 - L0P0 = 0x5C - L0P1 = 0x3E - L0P2 = 0x3F - L0SE = 0x21 - L0SN = 0xA0 - L0SD = 0x08 - L0DC = One - L0RE = 0xC3 - L0PC = Zero - L0N0 = 0x8C - L0ER = 0x7F - L0HI = 0x2A - L0C1 = 0x0C - L0C2 = Zero - L0RR = 0x02 - L0IN = 0x20 - L1C0 = Zero - L1TE = 0x0D - L1BM = One - L1LM = 0x3A - L1PR = 0x2F - L1L0 = 0x09 - L1L1 = 0x09 - L1L2 = 0x1A - L1R1 = One - L1R2 = 0x07 - L1M0 = 0x31 - L1M1 = 0x31 - L1M2 = 0x03 - L1CD = 0x02 - L1VD = One - L1X0 = 0x12 - L1TT = 0x25 - L1OT = Zero - L1RT = 0x05 - L1ET = One - L1VG = 0x26 - L1DG = 0x12 - L1EG = 0x04 - L1OG = 0x04 - L1PG = 0x09 - L1EI = 0x15 - L1DI = 0x32 - L1B0 = 0x7F - L1B1 = 0x07 - L1T1 = 0x04 - L1RC = 0x70 - L1F0 = 0x08 - L1F1 = 0x08 - L1F2 = 0x09 - L1S0 = 0x04 - L1S1 = 0x04 - L1S2 = 0x02 - L1SC = 0x0C - L1RB = 0x02 - L1P0 = 0x5C - L1P1 = 0x3E - L1P2 = 0x3F - L1SE = 0x21 - L1SN = 0xA0 - L1SD = 0x08 - L1DC = One - L1RE = 0xC3 - L1PC = Zero - L1N0 = 0x8C - L1ER = 0x7F - L1HI = 0x2A - L1C1 = 0x0C - L1C2 = Zero - L1RR = 0x02 - L1IN = 0x20 - HPSG = 0x3F - HTRC = 0x58 - HTM3 = 0x19 - HTP3 = 0x07 - HTM6 = 0x17 - HTP6 = 0x09 - If ((SIDV >= 0x00020000)){} - HPSR = Zero - L0ST = One - L1ST = One - HSTC = One - Local0 = HPST /* \_SB_.HPST */ - While ((Local0 & 0x40)) - { - Sleep (One) - TOUT++ - If ((TOUT == 0x0F)) - { - Break - } - - Local0 = HPST /* \_SB_.HPST */ - } - - If ((TOUT == 0x0F)) - { - Return (One) - } - Else - { - Return (Zero) - } - } - - Method (LTS1, 0, Serialized) - { - Name (TOUT, Zero) - Local0 = G32C /* \_SB_.G32C */ - Local0 &= 0xFFFFE0FF - Local0 |= 0x0100 - G32C = Local0 - GMDC = 0x000155A0 - Local0 = GEQC /* \_SB_.GEQC */ - Local0 &= 0xFFFFFFEF - GEQC = Local0 - CSW1 = One - P1PR = 0x77777777 - CSW1 = Zero - Local0 = GPLC /* \_SB_.GPLC */ - Local0 &= 0xFFC0F0FF - Local0 |= 0x00030300 - GPLC = Local0 - PLT1 = 0x0100 - Local0 = EST1 /* \_SB_.EST1 */ - While (((Local0 & 0x0400) != 0x0400)) - { - Sleep (One) - TOUT++ - If ((TOUT == 0x96)) - { - Break - } - - Local0 = EST1 /* \_SB_.EST1 */ - } - - If ((TOUT == 0x96)) - { - Return (One) - } - Else - { - Return (Zero) - } - } - - Method (IAT1, 0, Serialized) - { - IAV1 = One - ILB1 = 0x40100000 - IUB1 = Zero - ILR1 = 0x401FFFFF - ILT1 = 0x01000000 - IUT1 = Zero - CR11 = 0x04 - CR21 = 0x80000000 - BNR1 = 0x00010100 - } - - Method (REB1, 2, Serialized) - { - Local0 = PSC1 /* \_SB_.PSC1 */ - Local0 &= 0xFBFFFFFF - PSC1 = Local0 - LBW0 = Arg0 - HBW0 = Zero - LLW0 = Arg1 - HLW0 = Zero - LBR0 = Arg0 - HBR0 = Zero - LLR0 = Arg1 - HLR0 = Zero - Local0 = PSC1 /* \_SB_.PSC1 */ - Local0 |= 0x04000000 - PSC1 = Local0 - } - - Method (EEB1, 2, Serialized) - { - Local0 = PSC1 /* \_SB_.PSC1 */ - Local0 &= 0xBFFFFFFF - PSC1 = Local0 - LBW1 = Arg0 - HBW1 = Zero - LLW1 = Arg1 - HLW1 = Zero - LBR1 = Arg0 - HBR1 = Zero - LLR1 = Arg1 - HLR1 = Zero - Local0 = PSC1 /* \_SB_.PSC1 */ - Local0 |= 0x40000000 - PSC1 = Local0 - } - - Name (E1LT, 0x400FFFFF) - Method (MSC1, 0, Serialized) - { - Local0 = SCR1 /* \_SB_.SCR1 */ - Local0 |= 0x02 - SCR1 = Local0 - PSL1 = 0x20000000 - Local0 = PPC1 /* \_SB_.PPC1 */ - Local0 &= 0xFFFFFFDF - PPC1 = Local0 - CSW1 = One - Local0 = LCA1 /* \_SB_.LCA1 */ - Local0 |= 0x00400000 - Local0 |= 0x0C00 - LCA1 = Local0 - Local0 = CRI1 /* \_SB_.CRI1 */ - Local0 &= 0xFFFF - Local0 |= 0x06040000 - CRI1 = Local0 - Local0 = SCA1 /* \_SB_.SCA1 */ - Local0 &= 0xFFFFFFBF - SCA1 = Local0 - CSW1 = Zero - ECS1 = One - R1B0 = Zero - R1B1 = Zero - ECS1 = Zero - PEB1 = 0x40000000 - REB1 (0x40001000, E1LT) - EEB1 (0x40101000, 0x401FFFFF) - } - - Name (G1D3, Zero) - Device (IPC0) - { - Name (_DEP, Package (0x01) // _DEP: Dependencies - { - GLNK - }) - Name (_HID, "QCOM021C") // _HID: Hardware ID - Alias (PSUB, _SUB) - } - - Device (GLNK) - { - Name (_DEP, Package (0x01) // _DEP: Dependencies - { - RPEN - }) - Name (_HID, "QCOM02F9") // _HID: Hardware ID - Alias (PSUB, _SUB) - Name (_UID, Zero) // _UID: Unique ID - Method (_CRS, 0, NotSerialized) // _CRS: Current Resource Settings - { - Name (RBUF, ResourceTemplate () - { - Interrupt (ResourceConsumer, Edge, ActiveHigh, Exclusive, ,, ) - { - 0x000001E3, - } - Interrupt (ResourceConsumer, Edge, ActiveHigh, Exclusive, ,, ) - { - 0x000000BE, - } - Interrupt (ResourceConsumer, Edge, ActiveHigh, Exclusive, ,, ) - { - 0x000000CC, - } - Interrupt (ResourceConsumer, Edge, ActiveHigh, Exclusive, ,, ) - { - 0x00000260, - } - Interrupt (ResourceConsumer, Edge, ActiveHigh, Exclusive, ,, ) - { - 0x000001E1, - } - Interrupt (ResourceConsumer, Edge, ActiveHigh, Exclusive, ,, ) - { - 0x000000BC, - } - Interrupt (ResourceConsumer, Edge, ActiveHigh, Exclusive, ,, ) - { - 0x000000CA, - } - Interrupt (ResourceConsumer, Edge, ActiveHigh, Exclusive, ,, ) - { - 0x0000025E, - } - }) - Return (RBUF) /* \_SB_.GLNK._CRS.RBUF */ - } - } - - Device (ARPC) - { - Name (_DEP, Package (0x03) // _DEP: Dependencies - { - MMU0, - GLNK, - SCM0 - }) - Name (_HID, "QCOM0297") // _HID: Hardware ID - Alias (PSUB, _SUB) - } - - Device (ARPD) - { - Name (_DEP, Package (0x02) // _DEP: Dependencies - { - ADSP, - ARPC - }) - Name (_HID, "QCOM02F3") // _HID: Hardware ID - Alias (PSUB, _SUB) - } - - Device (RFS0) - { - Name (_DEP, Package (0x02) // _DEP: Dependencies - { - IPC0, - UFS0 - }) - Name (_HID, "QCOM0235") // _HID: Hardware ID - Alias (PSUB, _SUB) - Method (_CRS, 0, NotSerialized) // _CRS: Current Resource Settings - { - Name (RBUF, ResourceTemplate () - { - Memory32Fixed (ReadWrite, - 0x88888888, // Address Base - 0x99999999, // Address Length - _Y00) - Memory32Fixed (ReadWrite, - 0x11111111, // Address Base - 0x22222222, // Address Length - _Y01) - Memory32Fixed (ReadWrite, - 0x33333333, // Address Base - 0x44444444, // Address Length - _Y02) - }) - CreateDWordField (RBUF, \_SB.RFS0._CRS._Y00._BAS, RMTA) // _BAS: Base Address - CreateDWordField (RBUF, \_SB.RFS0._CRS._Y00._LEN, RMTL) // _LEN: Length - CreateDWordField (RBUF, \_SB.RFS0._CRS._Y01._BAS, RFMA) // _BAS: Base Address - CreateDWordField (RBUF, \_SB.RFS0._CRS._Y01._LEN, RFML) // _LEN: Length - CreateDWordField (RBUF, \_SB.RFS0._CRS._Y02._BAS, RFAA) // _BAS: Base Address - CreateDWordField (RBUF, \_SB.RFS0._CRS._Y02._LEN, RFAL) // _LEN: Length - RMTA = RMTB /* \_SB_.RMTB */ - RMTL = RMTX /* \_SB_.RMTX */ - RFMA = RFMB /* \_SB_.RFMB */ - RFML = RFMS /* \_SB_.RFMS */ - RFAA = RFAB /* \_SB_.RFAB */ - RFAL = RFAS /* \_SB_.RFAS */ - Return (RBUF) /* \_SB_.RFS0._CRS.RBUF */ - } - - Method (_STA, 0, NotSerialized) // _STA: Status - { - Return (0x0B) - } - } - - Device (IPA) - { - Name (_DEP, Package (0x06) // _DEP: Dependencies - { - PEP0, - RPEN, - PILC, - MMU0, - GSI, - GLNK - }) - Name (_HID, "QCOM02B3") // _HID: Hardware ID - Alias (PSUB, _SUB) - Name (_UID, Zero) // _UID: Unique ID - Method (_CRS, 0, NotSerialized) // _CRS: Current Resource Settings - { - Return (ResourceTemplate () - { - Memory32Fixed (ReadWrite, - 0x01E40000, // Address Base - 0x0001FFFF, // Address Length - ) - Interrupt (ResourceConsumer, Edge, ActiveHigh, Exclusive, ,, ) - { - 0x00000157, - } - }) - } - } - - Device (GSI) - { - Name (_DEP, Package (0x01) // _DEP: Dependencies - { - PEP0 - }) - Name (_HID, "QCOM02E7") // _HID: Hardware ID - Alias (PSUB, _SUB) - Name (_UID, Zero) // _UID: Unique ID - Method (_CRS, 0, NotSerialized) // _CRS: Current Resource Settings - { - Name (RBUF, ResourceTemplate () - { - Memory32Fixed (ReadWrite, - 0x01E00000, // Address Base - 0x00030000, // Address Length - ) - Interrupt (ResourceConsumer, Edge, ActiveHigh, Exclusive, ,, ) - { - 0x000001D0, - } - }) - Return (RBUF) /* \_SB_.GSI_._CRS.RBUF */ - } - } - - Device (QDIG) - { - Name (_DEP, Package (0x01) // _DEP: Dependencies - { - GLNK - }) - Name (_HID, "QCOM0225") // _HID: Hardware ID - Alias (PSUB, _SUB) - } - - Device (QCDB) - { - Name (_HID, "QCOM0298") // _HID: Hardware ID - Alias (PSUB, _SUB) - } - - Device (SYSM) - { - Name (_HID, "ACPI0010" /* Processor Container Device */) // _HID: Hardware ID - Name (_UID, 0x00100000) // _UID: Unique ID - Name (_LPI, Package (0x05) // _LPI: Low Power Idle States - { - Zero, - 0x01000000, - 0x02, - Package (0x0A) - { - 0x251C, - 0x1770, - Zero, - 0x20, - Zero, - Zero, - 0x3300, - ResourceTemplate () - { - Register (SystemMemory, - 0x00, // Bit Width - 0x00, // Bit Offset - 0x0000000000000000, // Address - ,) - }, - - ResourceTemplate () - { - Register (SystemMemory, - 0x00, // Bit Width - 0x00, // Bit Offset - 0x0000000000000000, // Address - ,) - }, - - "platform.F1" - }, - - Package (0x0A) - { - 0x2710, - 0x19C8, - One, - 0x20, - Zero, - Zero, - 0xC300, - ResourceTemplate () - { - Register (SystemMemory, - 0x00, // Bit Width - 0x00, // Bit Offset - 0x0000000000000000, // Address - ,) - }, - - ResourceTemplate () - { - Register (SystemMemory, - 0x00, // Bit Width - 0x00, // Bit Offset - 0x0000000000000000, // Address - ,) - }, - - "platform.F2" - } - }) - Device (CLUS) - { - Name (_HID, "ACPI0010" /* Processor Container Device */) // _HID: Hardware ID - Name (_UID, 0x10) // _UID: Unique ID - Name (_LPI, Package (0x05) // _LPI: Low Power Idle States - { - Zero, - 0x01000000, - 0x02, - Package (0x0A) - { - 0x170C, - 0x0BB8, - Zero, - Zero, - Zero, - Zero, - 0x20, - ResourceTemplate () - { - Register (SystemMemory, - 0x00, // Bit Width - 0x00, // Bit Offset - 0x0000000000000000, // Address - ,) - }, - - ResourceTemplate () - { - Register (SystemMemory, - 0x00, // Bit Width - 0x00, // Bit Offset - 0x0000000000000000, // Address - ,) - }, - - "L3Cluster.D2" - }, - - Package (0x0A) - { - 0x1770, - 0x0CE4, - One, - Zero, - Zero, - 0x02, - 0x40, - ResourceTemplate () - { - Register (SystemMemory, - 0x00, // Bit Width - 0x00, // Bit Offset - 0x0000000000000000, // Address - ,) - }, - - ResourceTemplate () - { - Register (SystemMemory, - 0x00, // Bit Width - 0x00, // Bit Offset - 0x0000000000000000, // Address - ,) - }, - - "L3Cluster.D4" - } - }) - Device (CPU0) - { - Name (_HID, "ACPI0007" /* Processor Device */) // _HID: Hardware ID - Name (_UID, Zero) // _UID: Unique ID - Method (_STA, 0, NotSerialized) // _STA: Status - { - Return (0x0F) - } - - Name (_LPI, Package (0x07) // _LPI: Low Power Idle States - { - Zero, - Zero, - 0x04, - Package (0x0A) - { - Zero, - Zero, - One, - Zero, - Zero, - Zero, - ResourceTemplate () - { - Register (FFixedHW, - 0x20, // Bit Width - 0x00, // Bit Offset - 0x00000000FFFFFFFF, // Address - 0x03, // Access Size - ) - }, - - ResourceTemplate () - { - Register (SystemMemory, - 0x00, // Bit Width - 0x00, // Bit Offset - 0x0000000000000000, // Address - ,) - }, - - ResourceTemplate () - { - Register (SystemMemory, - 0x00, // Bit Width - 0x00, // Bit Offset - 0x0000000000000000, // Address - ,) - }, - - "KryoSilver0.C1" - }, - - Package (0x0A) - { - 0x0190, - 0x64, - Zero, - Zero, - Zero, - One, - ResourceTemplate () - { - Register (FFixedHW, - 0x20, // Bit Width - 0x00, // Bit Offset - 0x0000000000000002, // Address - 0x03, // Access Size - ) - }, - - ResourceTemplate () - { - Register (SystemMemory, - 0x00, // Bit Width - 0x00, // Bit Offset - 0x0000000000000000, // Address - ,) - }, - - ResourceTemplate () - { - Register (SystemMemory, - 0x00, // Bit Width - 0x00, // Bit Offset - 0x0000000000000000, // Address - ,) - }, - - "KryoSilver0.C2" - }, - - Package (0x0A) - { - 0x1388, - 0x01F4, - One, - One, - Zero, - One, - ResourceTemplate () - { - Register (FFixedHW, - 0x20, // Bit Width - 0x00, // Bit Offset - 0x0000000040000003, // Address - 0x03, // Access Size - ) - }, - - ResourceTemplate () - { - Register (SystemMemory, - 0x00, // Bit Width - 0x00, // Bit Offset - 0x0000000000000000, // Address - ,) - }, - - ResourceTemplate () - { - Register (SystemMemory, - 0x00, // Bit Width - 0x00, // Bit Offset - 0x0000000000000000, // Address - ,) - }, - - "KryoSilver0.C3" - }, - - Package (0x0A) - { - 0x13EC, - 0x0226, - One, - One, - Zero, - 0x02, - ResourceTemplate () - { - Register (FFixedHW, - 0x20, // Bit Width - 0x00, // Bit Offset - 0x0000000040000004, // Address - 0x03, // Access Size - ) - }, - - ResourceTemplate () - { - Register (SystemMemory, - 0x00, // Bit Width - 0x00, // Bit Offset - 0x0000000000000000, // Address - ,) - }, - - ResourceTemplate () - { - Register (SystemMemory, - 0x00, // Bit Width - 0x00, // Bit Offset - 0x0000000000000000, // Address - ,) - }, - - "KryoSilver0.C4" - } - }) - } - - Device (CPU1) - { - Name (_HID, "ACPI0007" /* Processor Device */) // _HID: Hardware ID - Name (_UID, One) // _UID: Unique ID - Method (_STA, 0, NotSerialized) // _STA: Status - { - Return (0x0F) - } - - Name (_LPI, Package (0x07) // _LPI: Low Power Idle States - { - Zero, - Zero, - 0x04, - Package (0x0A) - { - Zero, - Zero, - One, - Zero, - Zero, - Zero, - ResourceTemplate () - { - Register (FFixedHW, - 0x20, // Bit Width - 0x00, // Bit Offset - 0x00000000FFFFFFFF, // Address - 0x03, // Access Size - ) - }, - - ResourceTemplate () - { - Register (SystemMemory, - 0x00, // Bit Width - 0x00, // Bit Offset - 0x0000000000000000, // Address - ,) - }, - - ResourceTemplate () - { - Register (SystemMemory, - 0x00, // Bit Width - 0x00, // Bit Offset - 0x0000000000000000, // Address - ,) - }, - - "KryoSilver1.C1" - }, - - Package (0x0A) - { - 0x0190, - 0x64, - Zero, - Zero, - Zero, - One, - ResourceTemplate () - { - Register (FFixedHW, - 0x20, // Bit Width - 0x00, // Bit Offset - 0x0000000000000002, // Address - 0x03, // Access Size - ) - }, - - ResourceTemplate () - { - Register (SystemMemory, - 0x00, // Bit Width - 0x00, // Bit Offset - 0x0000000000000000, // Address - ,) - }, - - ResourceTemplate () - { - Register (SystemMemory, - 0x00, // Bit Width - 0x00, // Bit Offset - 0x0000000000000000, // Address - ,) - }, - - "KryoSilver1.C2" - }, - - Package (0x0A) - { - 0x1388, - 0x01F4, - One, - One, - Zero, - One, - ResourceTemplate () - { - Register (FFixedHW, - 0x20, // Bit Width - 0x00, // Bit Offset - 0x0000000040000003, // Address - 0x03, // Access Size - ) - }, - - ResourceTemplate () - { - Register (SystemMemory, - 0x00, // Bit Width - 0x00, // Bit Offset - 0x0000000000000000, // Address - ,) - }, - - ResourceTemplate () - { - Register (SystemMemory, - 0x00, // Bit Width - 0x00, // Bit Offset - 0x0000000000000000, // Address - ,) - }, - - "KryoSilver1.C3" - }, - - Package (0x0A) - { - 0x13EC, - 0x0226, - One, - One, - Zero, - 0x02, - ResourceTemplate () - { - Register (FFixedHW, - 0x20, // Bit Width - 0x00, // Bit Offset - 0x0000000040000004, // Address - 0x03, // Access Size - ) - }, - - ResourceTemplate () - { - Register (SystemMemory, - 0x00, // Bit Width - 0x00, // Bit Offset - 0x0000000000000000, // Address - ,) - }, - - ResourceTemplate () - { - Register (SystemMemory, - 0x00, // Bit Width - 0x00, // Bit Offset - 0x0000000000000000, // Address - ,) - }, - - "KryoSilver1.C4" - } - }) - } - - Device (CPU2) - { - Name (_HID, "ACPI0007" /* Processor Device */) // _HID: Hardware ID - Name (_UID, 0x02) // _UID: Unique ID - Method (_STA, 0, NotSerialized) // _STA: Status - { - Return (0x0F) - } - - Name (_LPI, Package (0x07) // _LPI: Low Power Idle States - { - Zero, - Zero, - 0x04, - Package (0x0A) - { - Zero, - Zero, - One, - Zero, - Zero, - Zero, - ResourceTemplate () - { - Register (FFixedHW, - 0x20, // Bit Width - 0x00, // Bit Offset - 0x00000000FFFFFFFF, // Address - 0x03, // Access Size - ) - }, - - ResourceTemplate () - { - Register (SystemMemory, - 0x00, // Bit Width - 0x00, // Bit Offset - 0x0000000000000000, // Address - ,) - }, - - ResourceTemplate () - { - Register (SystemMemory, - 0x00, // Bit Width - 0x00, // Bit Offset - 0x0000000000000000, // Address - ,) - }, - - "KryoSilver2.C1" - }, - - Package (0x0A) - { - 0x0190, - 0x64, - Zero, - Zero, - Zero, - One, - ResourceTemplate () - { - Register (FFixedHW, - 0x20, // Bit Width - 0x00, // Bit Offset - 0x0000000000000002, // Address - 0x03, // Access Size - ) - }, - - ResourceTemplate () - { - Register (SystemMemory, - 0x00, // Bit Width - 0x00, // Bit Offset - 0x0000000000000000, // Address - ,) - }, - - ResourceTemplate () - { - Register (SystemMemory, - 0x00, // Bit Width - 0x00, // Bit Offset - 0x0000000000000000, // Address - ,) - }, - - "KryoSilver2.C2" - }, - - Package (0x0A) - { - 0x1388, - 0x01F4, - One, - One, - Zero, - One, - ResourceTemplate () - { - Register (FFixedHW, - 0x20, // Bit Width - 0x00, // Bit Offset - 0x0000000040000003, // Address - 0x03, // Access Size - ) - }, - - ResourceTemplate () - { - Register (SystemMemory, - 0x00, // Bit Width - 0x00, // Bit Offset - 0x0000000000000000, // Address - ,) - }, - - ResourceTemplate () - { - Register (SystemMemory, - 0x00, // Bit Width - 0x00, // Bit Offset - 0x0000000000000000, // Address - ,) - }, - - "KryoSilver2.C3" - }, - - Package (0x0A) - { - 0x13EC, - 0x0226, - One, - One, - Zero, - 0x02, - ResourceTemplate () - { - Register (FFixedHW, - 0x20, // Bit Width - 0x00, // Bit Offset - 0x0000000040000004, // Address - 0x03, // Access Size - ) - }, - - ResourceTemplate () - { - Register (SystemMemory, - 0x00, // Bit Width - 0x00, // Bit Offset - 0x0000000000000000, // Address - ,) - }, - - ResourceTemplate () - { - Register (SystemMemory, - 0x00, // Bit Width - 0x00, // Bit Offset - 0x0000000000000000, // Address - ,) - }, - - "KryoSilver2.C4" - } - }) - } - - Device (CPU3) - { - Name (_HID, "ACPI0007" /* Processor Device */) // _HID: Hardware ID - Name (_UID, 0x03) // _UID: Unique ID - Method (_STA, 0, NotSerialized) // _STA: Status - { - Return (0x0F) - } - - Name (_LPI, Package (0x07) // _LPI: Low Power Idle States - { - Zero, - Zero, - 0x04, - Package (0x0A) - { - Zero, - Zero, - One, - Zero, - Zero, - Zero, - ResourceTemplate () - { - Register (FFixedHW, - 0x20, // Bit Width - 0x00, // Bit Offset - 0x00000000FFFFFFFF, // Address - 0x03, // Access Size - ) - }, - - ResourceTemplate () - { - Register (SystemMemory, - 0x00, // Bit Width - 0x00, // Bit Offset - 0x0000000000000000, // Address - ,) - }, - - ResourceTemplate () - { - Register (SystemMemory, - 0x00, // Bit Width - 0x00, // Bit Offset - 0x0000000000000000, // Address - ,) - }, - - "KryoSilver3.C1" - }, - - Package (0x0A) - { - 0x0190, - 0x64, - Zero, - Zero, - Zero, - One, - ResourceTemplate () - { - Register (FFixedHW, - 0x20, // Bit Width - 0x00, // Bit Offset - 0x0000000000000002, // Address - 0x03, // Access Size - ) - }, - - ResourceTemplate () - { - Register (SystemMemory, - 0x00, // Bit Width - 0x00, // Bit Offset - 0x0000000000000000, // Address - ,) - }, - - ResourceTemplate () - { - Register (SystemMemory, - 0x00, // Bit Width - 0x00, // Bit Offset - 0x0000000000000000, // Address - ,) - }, - - "KryoSilver3.C2" - }, - - Package (0x0A) - { - 0x1388, - 0x01F4, - One, - One, - Zero, - One, - ResourceTemplate () - { - Register (FFixedHW, - 0x20, // Bit Width - 0x00, // Bit Offset - 0x0000000040000003, // Address - 0x03, // Access Size - ) - }, - - ResourceTemplate () - { - Register (SystemMemory, - 0x00, // Bit Width - 0x00, // Bit Offset - 0x0000000000000000, // Address - ,) - }, - - ResourceTemplate () - { - Register (SystemMemory, - 0x00, // Bit Width - 0x00, // Bit Offset - 0x0000000000000000, // Address - ,) - }, - - "KryoSilver3.C3" - }, - - Package (0x0A) - { - 0x13EC, - 0x0226, - One, - One, - Zero, - 0x02, - ResourceTemplate () - { - Register (FFixedHW, - 0x20, // Bit Width - 0x00, // Bit Offset - 0x0000000040000004, // Address - 0x03, // Access Size - ) - }, - - ResourceTemplate () - { - Register (SystemMemory, - 0x00, // Bit Width - 0x00, // Bit Offset - 0x0000000000000000, // Address - ,) - }, - - ResourceTemplate () - { - Register (SystemMemory, - 0x00, // Bit Width - 0x00, // Bit Offset - 0x0000000000000000, // Address - ,) - }, - - "KryoSilver3.C4" - } - }) - } - - Device (CPU4) - { - Name (_HID, "ACPI0007" /* Processor Device */) // _HID: Hardware ID - Name (_UID, 0x04) // _UID: Unique ID - Method (_STA, 0, NotSerialized) // _STA: Status - { - Return (0x0F) - } - - Name (_LPI, Package (0x07) // _LPI: Low Power Idle States - { - Zero, - Zero, - 0x04, - Package (0x0A) - { - Zero, - Zero, - One, - Zero, - Zero, - Zero, - ResourceTemplate () - { - Register (FFixedHW, - 0x20, // Bit Width - 0x00, // Bit Offset - 0x00000000FFFFFFFF, // Address - 0x03, // Access Size - ) - }, - - ResourceTemplate () - { - Register (SystemMemory, - 0x00, // Bit Width - 0x00, // Bit Offset - 0x0000000000000000, // Address - ,) - }, - - ResourceTemplate () - { - Register (SystemMemory, - 0x00, // Bit Width - 0x00, // Bit Offset - 0x0000000000000000, // Address - ,) - }, - - "KryoGold0.C1" - }, - - Package (0x0A) - { - 0x0190, - 0x64, - Zero, - Zero, - Zero, - One, - ResourceTemplate () - { - Register (FFixedHW, - 0x20, // Bit Width - 0x00, // Bit Offset - 0x0000000000000002, // Address - 0x03, // Access Size - ) - }, - - ResourceTemplate () - { - Register (SystemMemory, - 0x00, // Bit Width - 0x00, // Bit Offset - 0x0000000000000000, // Address - ,) - }, - - ResourceTemplate () - { - Register (SystemMemory, - 0x00, // Bit Width - 0x00, // Bit Offset - 0x0000000000000000, // Address - ,) - }, - - "KryoGold0.C2" - }, - - Package (0x0A) - { - 0x03E8, - 0x028A, - One, - One, - Zero, - One, - ResourceTemplate () - { - Register (FFixedHW, - 0x20, // Bit Width - 0x00, // Bit Offset - 0x0000000040000003, // Address - 0x03, // Access Size - ) - }, - - ResourceTemplate () - { - Register (SystemMemory, - 0x00, // Bit Width - 0x00, // Bit Offset - 0x0000000000000000, // Address - ,) - }, - - ResourceTemplate () - { - Register (SystemMemory, - 0x00, // Bit Width - 0x00, // Bit Offset - 0x0000000000000000, // Address - ,) - }, - - "KryoGold0.C3" - }, - - Package (0x0A) - { - 0x05DC, - 0x044C, - One, - One, - Zero, - 0x02, - ResourceTemplate () - { - Register (FFixedHW, - 0x20, // Bit Width - 0x00, // Bit Offset - 0x0000000040000004, // Address - 0x03, // Access Size - ) - }, - - ResourceTemplate () - { - Register (SystemMemory, - 0x00, // Bit Width - 0x00, // Bit Offset - 0x0000000000000000, // Address - ,) - }, - - ResourceTemplate () - { - Register (SystemMemory, - 0x00, // Bit Width - 0x00, // Bit Offset - 0x0000000000000000, // Address - ,) - }, - - "KryoGold0.C4" - } - }) - } - - Device (CPU5) - { - Name (_HID, "ACPI0007" /* Processor Device */) // _HID: Hardware ID - Name (_UID, 0x05) // _UID: Unique ID - Method (_STA, 0, NotSerialized) // _STA: Status - { - Return (0x0F) - } - - Name (_LPI, Package (0x07) // _LPI: Low Power Idle States - { - Zero, - Zero, - 0x04, - Package (0x0A) - { - Zero, - Zero, - One, - Zero, - Zero, - Zero, - ResourceTemplate () - { - Register (FFixedHW, - 0x20, // Bit Width - 0x00, // Bit Offset - 0x00000000FFFFFFFF, // Address - 0x03, // Access Size - ) - }, - - ResourceTemplate () - { - Register (SystemMemory, - 0x00, // Bit Width - 0x00, // Bit Offset - 0x0000000000000000, // Address - ,) - }, - - ResourceTemplate () - { - Register (SystemMemory, - 0x00, // Bit Width - 0x00, // Bit Offset - 0x0000000000000000, // Address - ,) - }, - - "KryoGold1.C1" - }, - - Package (0x0A) - { - 0x0190, - 0x64, - Zero, - Zero, - Zero, - One, - ResourceTemplate () - { - Register (FFixedHW, - 0x20, // Bit Width - 0x00, // Bit Offset - 0x0000000000000002, // Address - 0x03, // Access Size - ) - }, - - ResourceTemplate () - { - Register (SystemMemory, - 0x00, // Bit Width - 0x00, // Bit Offset - 0x0000000000000000, // Address - ,) - }, - - ResourceTemplate () - { - Register (SystemMemory, - 0x00, // Bit Width - 0x00, // Bit Offset - 0x0000000000000000, // Address - ,) - }, - - "KryoGold1.C2" - }, - - Package (0x0A) - { - 0x03E8, - 0x028A, - One, - One, - Zero, - One, - ResourceTemplate () - { - Register (FFixedHW, - 0x20, // Bit Width - 0x00, // Bit Offset - 0x0000000040000003, // Address - 0x03, // Access Size - ) - }, - - ResourceTemplate () - { - Register (SystemMemory, - 0x00, // Bit Width - 0x00, // Bit Offset - 0x0000000000000000, // Address - ,) - }, - - ResourceTemplate () - { - Register (SystemMemory, - 0x00, // Bit Width - 0x00, // Bit Offset - 0x0000000000000000, // Address - ,) - }, - - "KryoGold1.C3" - }, - - Package (0x0A) - { - 0x05DC, - 0x044C, - One, - One, - Zero, - 0x02, - ResourceTemplate () - { - Register (FFixedHW, - 0x20, // Bit Width - 0x00, // Bit Offset - 0x0000000040000004, // Address - 0x03, // Access Size - ) - }, - - ResourceTemplate () - { - Register (SystemMemory, - 0x00, // Bit Width - 0x00, // Bit Offset - 0x0000000000000000, // Address - ,) - }, - - ResourceTemplate () - { - Register (SystemMemory, - 0x00, // Bit Width - 0x00, // Bit Offset - 0x0000000000000000, // Address - ,) - }, - - "KryoGold1.C4" - } - }) - } - - Device (CPU6) - { - Name (_HID, "ACPI0007" /* Processor Device */) // _HID: Hardware ID - Name (_UID, 0x06) // _UID: Unique ID - Method (_STA, 0, NotSerialized) // _STA: Status - { - Return (0x0F) - } - - Name (_LPI, Package (0x07) // _LPI: Low Power Idle States - { - Zero, - Zero, - 0x04, - Package (0x0A) - { - Zero, - Zero, - One, - Zero, - Zero, - Zero, - ResourceTemplate () - { - Register (FFixedHW, - 0x20, // Bit Width - 0x00, // Bit Offset - 0x00000000FFFFFFFF, // Address - 0x03, // Access Size - ) - }, - - ResourceTemplate () - { - Register (SystemMemory, - 0x00, // Bit Width - 0x00, // Bit Offset - 0x0000000000000000, // Address - ,) - }, - - ResourceTemplate () - { - Register (SystemMemory, - 0x00, // Bit Width - 0x00, // Bit Offset - 0x0000000000000000, // Address - ,) - }, - - "KryoGold2.C1" - }, - - Package (0x0A) - { - 0x0190, - 0x64, - Zero, - Zero, - Zero, - One, - ResourceTemplate () - { - Register (FFixedHW, - 0x20, // Bit Width - 0x00, // Bit Offset - 0x0000000000000002, // Address - 0x03, // Access Size - ) - }, - - ResourceTemplate () - { - Register (SystemMemory, - 0x00, // Bit Width - 0x00, // Bit Offset - 0x0000000000000000, // Address - ,) - }, - - ResourceTemplate () - { - Register (SystemMemory, - 0x00, // Bit Width - 0x00, // Bit Offset - 0x0000000000000000, // Address - ,) - }, - - "KryoGold2.C2" - }, - - Package (0x0A) - { - 0x03E8, - 0x028A, - One, - One, - Zero, - One, - ResourceTemplate () - { - Register (FFixedHW, - 0x20, // Bit Width - 0x00, // Bit Offset - 0x0000000040000003, // Address - 0x03, // Access Size - ) - }, - - ResourceTemplate () - { - Register (SystemMemory, - 0x00, // Bit Width - 0x00, // Bit Offset - 0x0000000000000000, // Address - ,) - }, - - ResourceTemplate () - { - Register (SystemMemory, - 0x00, // Bit Width - 0x00, // Bit Offset - 0x0000000000000000, // Address - ,) - }, - - "KryoGold2.C3" - }, - - Package (0x0A) - { - 0x05DC, - 0x044C, - One, - One, - Zero, - 0x02, - ResourceTemplate () - { - Register (FFixedHW, - 0x20, // Bit Width - 0x00, // Bit Offset - 0x0000000040000004, // Address - 0x03, // Access Size - ) - }, - - ResourceTemplate () - { - Register (SystemMemory, - 0x00, // Bit Width - 0x00, // Bit Offset - 0x0000000000000000, // Address - ,) - }, - - ResourceTemplate () - { - Register (SystemMemory, - 0x00, // Bit Width - 0x00, // Bit Offset - 0x0000000000000000, // Address - ,) - }, - - "KryoGold2.C4" - } - }) - } - - Device (CPU7) - { - Name (_HID, "ACPI0007" /* Processor Device */) // _HID: Hardware ID - Name (_UID, 0x07) // _UID: Unique ID - Method (_STA, 0, NotSerialized) // _STA: Status - { - Return (0x0F) - } - - Name (_LPI, Package (0x07) // _LPI: Low Power Idle States - { - Zero, - Zero, - 0x04, - Package (0x0A) - { - Zero, - Zero, - One, - Zero, - Zero, - Zero, - ResourceTemplate () - { - Register (FFixedHW, - 0x20, // Bit Width - 0x00, // Bit Offset - 0x00000000FFFFFFFF, // Address - 0x03, // Access Size - ) - }, - - ResourceTemplate () - { - Register (SystemMemory, - 0x00, // Bit Width - 0x00, // Bit Offset - 0x0000000000000000, // Address - ,) - }, - - ResourceTemplate () - { - Register (SystemMemory, - 0x00, // Bit Width - 0x00, // Bit Offset - 0x0000000000000000, // Address - ,) - }, - - "KryoGold3.C1" - }, - - Package (0x0A) - { - 0x0190, - 0x64, - Zero, - Zero, - Zero, - One, - ResourceTemplate () - { - Register (FFixedHW, - 0x20, // Bit Width - 0x00, // Bit Offset - 0x0000000000000002, // Address - 0x03, // Access Size - ) - }, - - ResourceTemplate () - { - Register (SystemMemory, - 0x00, // Bit Width - 0x00, // Bit Offset - 0x0000000000000000, // Address - ,) - }, - - ResourceTemplate () - { - Register (SystemMemory, - 0x00, // Bit Width - 0x00, // Bit Offset - 0x0000000000000000, // Address - ,) - }, - - "KryoGold3.C2" - }, - - Package (0x0A) - { - 0x03E8, - 0x028A, - One, - One, - Zero, - One, - ResourceTemplate () - { - Register (FFixedHW, - 0x20, // Bit Width - 0x00, // Bit Offset - 0x0000000040000003, // Address - 0x03, // Access Size - ) - }, - - ResourceTemplate () - { - Register (SystemMemory, - 0x00, // Bit Width - 0x00, // Bit Offset - 0x0000000000000000, // Address - ,) - }, - - ResourceTemplate () - { - Register (SystemMemory, - 0x00, // Bit Width - 0x00, // Bit Offset - 0x0000000000000000, // Address - ,) - }, - - "KryoGold3.C3" - }, - - Package (0x0A) - { - 0x05DC, - 0x044C, - One, - One, - Zero, - 0x02, - ResourceTemplate () - { - Register (FFixedHW, - 0x20, // Bit Width - 0x00, // Bit Offset - 0x0000000040000004, // Address - 0x03, // Access Size - ) - }, - - ResourceTemplate () - { - Register (SystemMemory, - 0x00, // Bit Width - 0x00, // Bit Offset - 0x0000000000000000, // Address - ,) - }, - - ResourceTemplate () - { - Register (SystemMemory, - 0x00, // Bit Width - 0x00, // Bit Offset - 0x0000000000000000, // Address - ,) - }, - - "KryoGold3.C4" - } - }) - } - } - } - - Device (QRNG) - { - Name (_DEP, Package (0x01) // _DEP: Dependencies - { - PEP0 - }) - Name (_HID, "QCOM02FE") // _HID: Hardware ID - Name (_UID, Zero) // _UID: Unique ID - Method (_CRS, 0, NotSerialized) // _CRS: Current Resource Settings - { - Name (RBUF, ResourceTemplate () - { - Memory32Fixed (ReadWrite, - 0x00793000, // Address Base - 0x00001000, // Address Length - ) - }) - Return (RBUF) /* \_SB_.QRNG._CRS.RBUF */ - } - } - - Device (GPS) - { - Name (_DEP, Package (0x01) // _DEP: Dependencies - { - GLNK - }) - Name (_HID, "QCOM02B6") // _HID: Hardware ID - Alias (PSUB, _SUB) - Name (_CID, "ACPIQCOM24B4") // _CID: Compatible ID - Name (_UID, Zero) // _UID: Unique ID - } - - Device (QGP0) - { - Name (_HID, "QCOM02F4") // _HID: Hardware ID - Alias (PSUB, _SUB) - Name (_UID, Zero) // _UID: Unique ID - Name (_CCA, Zero) // _CCA: Cache Coherency Attribute - Method (_CRS, 0, Serialized) // _CRS: Current Resource Settings - { - Name (RBUF, ResourceTemplate () - { - Memory32Fixed (ReadWrite, - 0x00804000, // Address Base - 0x00050000, // Address Length - ) - Interrupt (ResourceConsumer, Level, ActiveHigh, Exclusive, ,, ) - { - 0x00000119, - } - Interrupt (ResourceConsumer, Level, ActiveHigh, Exclusive, ,, ) - { - 0x0000011B, - } - }) - Return (RBUF) /* \_SB_.QGP0._CRS.RBUF */ - } - - Method (GPII, 0, Serialized) - { - Return (Package (0x02) - { - Package (0x03) - { - Zero, - 0x05, - 0x0119 - }, - - Package (0x03) - { - Zero, - 0x07, - 0x011B - } - }) - } - } - - Device (QGP1) - { - Name (_HID, "QCOM02F4") // _HID: Hardware ID - Alias (\_SB.PSUB, _SUB) - Name (_UID, One) // _UID: Unique ID - Name (_CCA, Zero) // _CCA: Cache Coherency Attribute - Method (_CRS, 0, Serialized) // _CRS: Current Resource Settings - { - Name (RBUF, ResourceTemplate () - { - Memory32Fixed (ReadWrite, - 0x00A04000, // Address Base - 0x00050000, // Address Length - ) - Interrupt (ResourceConsumer, Level, ActiveHigh, Exclusive, ,, ) - { - 0x00000138, - } - Interrupt (ResourceConsumer, Level, ActiveHigh, Exclusive, ,, ) - { - 0x0000013A, - } - Interrupt (ResourceConsumer, Level, ActiveHigh, Exclusive, ,, ) - { - 0x00000145, - } - }) - Return (RBUF) /* \_SB_.QGP1._CRS.RBUF */ - } - - Method (GPII, 0, Serialized) - { - Return (Package (0x03) - { - Package (0x03) - { - One, - One, - 0x0138 - }, - - Package (0x03) - { - One, - 0x03, - 0x013A - }, - - Package (0x03) - { - One, - 0x06, - 0x0145 - } - }) - } - } - - Device (SARM) - { - Name (_HID, "QCOM0301") // _HID: Hardware ID - Alias (PSUB, _SUB) - } - - Scope (\_SB) - { - Device (WBDI) - { - Name (_HID, "SAM0909") // _HID: Hardware ID - Name (_UID, Zero) // _UID: Unique ID - Name (_SUB, "RENEGA0E") // _SUB: Subsystem ID - Name (_DEP, Package (0x02) // _DEP: Dependencies - { - GIO0, - SCM0 - }) - Method (_STA, 0, NotSerialized) // _STA: Status - { - Return (0x0F) - } - - Method (_CRS, 0, NotSerialized) // _CRS: Current Resource Settings - { - Name (RBUF, ResourceTemplate () - { - GpioIo (Exclusive, PullNone, 0x0000, 0x0000, IoRestrictionNone, - "\\_SB.GIO0", 0x00, ResourceConsumer, , - ) - { // Pin list - 0x003E - } - GpioIo (Exclusive, PullNone, 0x0000, 0x0000, IoRestrictionNone, - "\\_SB.GIO0", 0x00, ResourceConsumer, , - ) - { // Pin list - 0x003B - } - GpioInt (Level, ActiveLow, ExclusiveAndWake, PullDefault, 0x0000, - "\\_SB.GIO0", 0x00, ResourceConsumer, , - ) - { // Pin list - 0x003C - } - }) - Return (RBUF) /* \_SB_.WBDI._CRS.RBUF */ - } - } - } - - Scope (\_SB) - { - Name (GRST, Zero) - } - - Device (SEN2) - { - Name (_DEP, Package (0x03) // _DEP: Dependencies - { - IPC0, - SCSS, - ARPC - }) - Name (_HID, "QCOM0308") // _HID: Hardware ID - Alias (PSUB, _SUB) - Name (_CID, "QCOM02A2") // _CID: Compatible ID - } - - Device (LID0) - { - Name (_HID, "PNP0C0D" /* Lid Device */) // _HID: Hardware ID - Alias (PSUB, _SUB) - Name (LIDB, One) - Method (_LID, 0, NotSerialized) // _LID: Lid Status - { - Return (LIDB) /* \_SB_.LID0.LIDB */ - } - } - - Method (ADDR, 0, NotSerialized) - { - If ((SVMJ == One)) - { - Return (0x0390B000) - } - ElseIf ((SVMJ == 0x02)) - { - Return (0x0350B000) - } - } - - OperationRegion (NM11, SystemMemory, ADDR (), 0x14) - Field (NM11, DWordAcc, NoLock, Preserve) - { - PI1C, 32, - PIN1, 32, - PI1N, 32, - PI1S, 32, - PI1L, 32 - } - - Method (_MID, 0, Serialized) - { - Name (NMID, Zero) - NMID = PIN1 /* \_SB_.PIN1 */ - Return (NMID) /* \_SB_._MID.NMID */ - } - - Scope (\_SB) - { - Device (AGNT) - { - Name (_ADR, Zero) // _ADR: Address - Name (_HID, "SAM0603") // _HID: Hardware ID - Name (_CID, "SAM0603") // _CID: Compatible ID - Name (_SUB, "RENEGA0E") // _SUB: Subsystem ID - Name (_UID, One) // _UID: Unique ID - Name (_STA, 0x0F) // _STA: Status - } - } - - Method (ADBG, 1, Serialized) - { - } - - Device (UCP0) - { - Name (_HID, "QCOM02D0") // _HID: Hardware ID - Name (_DEP, Package (0x03) // _DEP: Dependencies - { - PEP0, - PTCC, - URS0 - }) - Device (CON0) - { - Name (_ADR, Zero) // _ADR: Address - Name (_PLD, Package (0x01) // _PLD: Physical Location of Device - { - ToPLD ( - PLD_Revision = 0x2, - PLD_IgnoreColor = 0x1, - PLD_Red = 0x0, - PLD_Green = 0x0, - PLD_Blue = 0x0, - PLD_Width = 0x0, - PLD_Height = 0x0, - PLD_UserVisible = 0x1, - PLD_Dock = 0x0, - PLD_Lid = 0x0, - PLD_Panel = "BACK", - PLD_VerticalPosition = "CENTER", - PLD_HorizontalPosition = "LEFT", - PLD_Shape = "VERTICALRECTANGLE", - PLD_GroupOrientation = 0x0, - PLD_GroupToken = 0x0, - PLD_GroupPosition = 0x1, - PLD_Bay = 0x0, - PLD_Ejectable = 0x0, - PLD_EjectRequired = 0x0, - PLD_CabinetNumber = 0x0, - PLD_CardCageNumber = 0x0, - PLD_Reference = 0x0, - PLD_Rotation = 0x0, - PLD_Order = 0x0, - PLD_VerticalOffset = 0xFFFF, - PLD_HorizontalOffset = 0xFFFF) - - }) - Name (_UPC, Package (0x04) // _UPC: USB Port Capabilities - { - One, - 0x09, - Zero, - Zero - }) - Name (_DSD, Package (0x02) // _DSD: Device-Specific Data - { - ToUUID ("6b856e62-40f4-4688-bd46-5e888a2260de") /* Unknown UUID */, - Package (0x0A) - { - Package (0x02) - { - One, - 0x04 - }, - - Package (0x02) - { - 0x02, - 0x03 - }, - - Package (0x02) - { - 0x03, - Zero - }, - - Package (0x02) - { - 0x04, - One - }, - - Package (0x02) - { - 0x05, - 0x03 - }, - - Package (0x02) - { - 0x06, - Package (0x01) - { - 0x0001905A - } - }, - - Package (0x02) - { - 0x07, - Package (0x02) - { - 0x0001912C, - 0x0002D0C8 - } - }, - - Package (0x02) - { - 0x08, - Package (0x02) - { - 0xFF01, - 0x3C86 - } - }, - - Package (0x02) - { - 0x09, - One - }, - - Package (0x02) - { - 0x0A, - One - } - } - }) - } - - Method (_DSM, 4, NotSerialized) // _DSM: Device-Specific Method - { - While (One) - { - Name (_T_0, Buffer (One) // _T_x: Emitted by ASL Compiler, x=0-9, A-Z - { - 0x00 // . - }) - CopyObject (ToBuffer (Arg0), _T_0) /* \_SB_.UCP0._DSM._T_0 */ - If ((_T_0 == ToUUID ("18de299f-9476-4fc9-b43b-8aeb713ed751") /* Unknown UUID */)) - { - While (One) - { - Name (_T_1, Zero) // _T_x: Emitted by ASL Compiler, x=0-9, A-Z - _T_1 = ToInteger (Arg2) - If ((_T_1 == Zero)) - { - While (One) - { - Name (_T_2, Zero) // _T_x: Emitted by ASL Compiler, x=0-9, A-Z - _T_2 = ToInteger (Arg1) - If ((_T_2 == Zero)) - { - Return (Buffer (One) - { - 0x01 // . - }) - Break - } - Else - { - Return (Buffer (One) - { - 0x01 // . - }) - Break - } - - Break - } - - Return (Buffer (One) - { - 0x00 // . - }) - Break - } - ElseIf ((_T_1 == One)) - { - While (One) - { - Name (_T_3, Zero) // _T_x: Emitted by ASL Compiler, x=0-9, A-Z - _T_3 = ToInteger (Arg3) - If ((_T_3 == Zero)) - { - Return (Package (0x01) - { - 0x36019050 - }) - Break - } - ElseIf ((_T_3 == One)) - { - Return (Package (0x01) - { - 0x3601912C - }) - Break - } - Else - { - Return (Package (0x01) - { - Zero - }) - Break - } - - Break - } - } - Else - { - Return (Buffer (One) - { - 0x00 // . - }) - Break - } - - Break - } - } - Else - { - Return (Buffer (One) - { - 0x00 // . - }) - Break - } - - Break - } - } - - Method (CCOT, 2, NotSerialized) - { - CCST = Arg0 - HSFL = Arg1 - } - - Method (CCVL, 0, NotSerialized) - { - Return (CCST) /* \_SB_.CCST */ - } - - Method (HPDS, 0, NotSerialized) - { - Notify (GPU0, 0x94) // Device-Specific - } - - Method (HPDF, 2, NotSerialized) - { - HPDB = Arg0 - PINA = Arg1 - Notify (GPU0, HPDB) - } - - Method (HPDV, 0, NotSerialized) - { - Return (HPDB) /* \_SB_.HPDB */ - } - - Method (PINV, 0, NotSerialized) - { - Return (PINA) /* \_SB_.PINA */ - } - } - - Name (QUFN, Zero) - Name (DPP0, Buffer (One) - { - 0x00 // . - }) - Device (URS0) - { - Method (URSI, 0, NotSerialized) - { - If ((QUFN == Zero)) - { - Return ("QCOM0304") - } - Else - { - Return ("QCOM0305") - } - } - - Alias (URSI, _HID) - Name (_CID, "PNP0CA1") // _CID: Compatible ID - Alias (PSUB, _SUB) - Name (_UID, Zero) // _UID: Unique ID - Name (_CCA, Zero) // _CCA: Cache Coherency Attribute - Name (_DEP, Package (0x01) // _DEP: Dependencies - { - PEP0 - }) - Name (_CRS, ResourceTemplate () // _CRS: Current Resource Settings - { - Memory32Fixed (ReadWrite, - 0x0A600000, // Address Base - 0x000FFFFF, // Address Length - ) - }) - Device (USB0) - { - Name (_ADR, Zero) // _ADR: Address - Name (_S0W, 0x03) // _S0W: S0 Device Wake State - Name (_PLD, Package (0x01) // _PLD: Physical Location of Device - { - ToPLD ( - PLD_Revision = 0x2, - PLD_IgnoreColor = 0x1, - PLD_Red = 0x0, - PLD_Green = 0x0, - PLD_Blue = 0x0, - PLD_Width = 0x0, - PLD_Height = 0x0, - PLD_UserVisible = 0x1, - PLD_Dock = 0x0, - PLD_Lid = 0x0, - PLD_Panel = "BACK", - PLD_VerticalPosition = "CENTER", - PLD_HorizontalPosition = "LEFT", - PLD_Shape = "VERTICALRECTANGLE", - PLD_GroupOrientation = 0x0, - PLD_GroupToken = 0x0, - PLD_GroupPosition = 0x1, - PLD_Bay = 0x0, - PLD_Ejectable = 0x0, - PLD_EjectRequired = 0x0, - PLD_CabinetNumber = 0x0, - PLD_CardCageNumber = 0x0, - PLD_Reference = 0x0, - PLD_Rotation = 0x0, - PLD_Order = 0x0, - PLD_VerticalOffset = 0xFFFF, - PLD_HorizontalOffset = 0xFFFF) - - }) - Name (_UPC, Package (0x04) // _UPC: USB Port Capabilities - { - One, - 0x09, - Zero, - Zero - }) - Name (_CRS, ResourceTemplate () // _CRS: Current Resource Settings - { - Interrupt (ResourceConsumer, Level, ActiveHigh, Shared, ,, ) - { - 0x000000A5, - } - Interrupt (ResourceConsumer, Level, ActiveHigh, SharedAndWake, ,, ) - { - 0x0000017A, - } - Interrupt (ResourceConsumer, Level, ActiveHigh, SharedAndWake, ,, ) - { - 0x00000206, - } - Interrupt (ResourceConsumer, Edge, ActiveHigh, SharedAndWake, ,, ) - { - 0x00000208, - } - Interrupt (ResourceConsumer, Edge, ActiveHigh, SharedAndWake, ,, ) - { - 0x00000209, - } - }) - Method (_STA, 0, NotSerialized) // _STA: Status - { - Return (0x0F) - } - - Method (DPM0, 1, NotSerialized) - { - DPP0 = Arg0 - Notify (PEP0, 0xA0) // Device-Specific - } - - Method (CCVL, 0, NotSerialized) - { - Return (CCST) /* \_SB_.CCST */ - } - - Method (HSEN, 0, NotSerialized) - { - Return (HSFL) /* \_SB_.HSFL */ - } - - Name (HSEI, ResourceTemplate () - { - GpioIo (Exclusive, PullNone, 0x0000, 0x0000, IoRestrictionNone, - "\\_SB.GIO0", 0x00, ResourceConsumer, , - ) - { // Pin list - 0x002B - } - }) - Scope (^^GIO0) - { - OperationRegion (HLEN, GeneralPurposeIo, Zero, One) - } - - Field (^^GIO0.HLEN, ByteAcc, NoLock, Preserve) - { - Connection (HSEI), - MOD1, 1 - } - - Method (_DSM, 4, NotSerialized) // _DSM: Device-Specific Method - { - While (One) - { - Name (_T_0, Buffer (One) // _T_x: Emitted by ASL Compiler, x=0-9, A-Z - { - 0x00 // . - }) - CopyObject (ToBuffer (Arg0), _T_0) /* \_SB_.URS0.USB0._DSM._T_0 */ - If ((_T_0 == ToUUID ("ce2ee385-00e6-48cb-9f05-2edb927c4899") /* USB Controller */)) - { - While (One) - { - Name (_T_1, Zero) // _T_x: Emitted by ASL Compiler, x=0-9, A-Z - _T_1 = ToInteger (Arg2) - If ((_T_1 == Zero)) - { - While (One) - { - Name (_T_2, Zero) // _T_x: Emitted by ASL Compiler, x=0-9, A-Z - _T_2 = ToInteger (Arg1) - If ((_T_2 == Zero)) - { - Return (Buffer (One) - { - 0x1D // . - }) - Break - } - Else - { - Return (Buffer (One) - { - 0x01 // . - }) - Break - } - - Break - } - - Return (Buffer (One) - { - 0x00 // . - }) - Break - } - ElseIf ((_T_1 == 0x02)) - { - Return (Zero) - Break - } - ElseIf ((_T_1 == 0x03)) - { - Return (One) - Break - } - ElseIf ((_T_1 == 0x04)) - { - Return (0x02) - Break - } - Else - { - Return (Buffer (One) - { - 0x00 // . - }) - Break - } - - Break - } - } - ElseIf ((_T_0 == ToUUID ("a9a82a56-95a1-4b4a-b014-3be47df1b7d5") /* Unknown UUID */)) - { - While (One) - { - Name (_T_3, Zero) // _T_x: Emitted by ASL Compiler, x=0-9, A-Z - _T_3 = ToInteger (Arg1) - If ((_T_3 == One)) - { - While (One) - { - Name (_T_4, Zero) // _T_x: Emitted by ASL Compiler, x=0-9, A-Z - _T_4 = ToInteger (Arg2) - If ((_T_4 == One)) - { - ADBG ("MOD1+") - MOD1 = One - Return (Buffer (One) - { - 0x01 // . - }) - } - ElseIf ((_T_4 == Zero)) - { - ADBG ("MOD1-") - MOD1 = Zero - Return (Buffer (One) - { - 0x01 // . - }) - } - Else - { - Return (Buffer (One) - { - 0x00 // . - }) - } - - Break - } - } - Else - { - Return (Buffer (One) - { - 0x00 // . - }) - } - - Break - } - } - Else - { - Return (Buffer (One) - { - 0x00 // . - }) - Break - } - - Break - } - } - - Method (PHYC, 0, NotSerialized) - { - Name (CFG0, Package (0x01) - { - Package (0x03) - { - Zero, - 0x088E2198, - 0x20 - } - }) - Return (CFG0) /* \_SB_.URS0.USB0.PHYC.CFG0 */ - } - - Device (RHUB) - { - Name (_ADR, Zero) // _ADR: Address - Method (_DSM, 4, NotSerialized) // _DSM: Device-Specific Method - { - While (One) - { - Name (_T_0, Buffer (One) // _T_x: Emitted by ASL Compiler, x=0-9, A-Z - { - 0x00 // . - }) - CopyObject (ToBuffer (Arg0), _T_0) /* \_SB_.URS0.USB0.RHUB._DSM._T_0 */ - If ((_T_0 == ToUUID ("a9a82a56-95a1-4b4a-b014-3be47df1b7d5") /* Unknown UUID */)) - { - While (One) - { - Name (_T_1, Zero) // _T_x: Emitted by ASL Compiler, x=0-9, A-Z - _T_1 = ToInteger (Arg1) - If ((_T_1 == One)) - { - While (One) - { - Name (_T_2, Zero) // _T_x: Emitted by ASL Compiler, x=0-9, A-Z - _T_2 = ToInteger (Arg2) - If ((_T_2 == One)) - { - ADBG ("MOD1++") - MOD1 = One - Return (Buffer (One) - { - 0x01 // . - }) - } - ElseIf ((_T_2 == Zero)) - { - ADBG ("MOD1--") - MOD1 = Zero - Return (Buffer (One) - { - 0x01 // . - }) - } - Else - { - Return (Buffer (One) - { - 0x00 // . - }) - } - - Break - } - } - Else - { - Return (Buffer (One) - { - 0x00 // . - }) - } - - Break - } - } - Else - { - Return (Buffer (One) - { - 0x00 // . - }) - Break - } - - Break - } - } - } - } - - Device (UFN0) - { - Name (_ADR, One) // _ADR: Address - Name (_S0W, 0x03) // _S0W: S0 Device Wake State - Name (_PLD, Package (0x01) // _PLD: Physical Location of Device - { - ToPLD ( - PLD_Revision = 0x2, - PLD_IgnoreColor = 0x1, - PLD_Red = 0x0, - PLD_Green = 0x0, - PLD_Blue = 0x0, - PLD_Width = 0x0, - PLD_Height = 0x0, - PLD_UserVisible = 0x1, - PLD_Dock = 0x0, - PLD_Lid = 0x0, - PLD_Panel = "BACK", - PLD_VerticalPosition = "CENTER", - PLD_HorizontalPosition = "LEFT", - PLD_Shape = "VERTICALRECTANGLE", - PLD_GroupOrientation = 0x0, - PLD_GroupToken = 0x0, - PLD_GroupPosition = 0x1, - PLD_Bay = 0x0, - PLD_Ejectable = 0x0, - PLD_EjectRequired = 0x0, - PLD_CabinetNumber = 0x0, - PLD_CardCageNumber = 0x0, - PLD_Reference = 0x0, - PLD_Rotation = 0x0, - PLD_Order = 0x0, - PLD_VerticalOffset = 0xFFFF, - PLD_HorizontalOffset = 0xFFFF) - - }) - Name (_UPC, Package (0x04) // _UPC: USB Port Capabilities - { - One, - 0x09, - Zero, - Zero - }) - Name (_CRS, ResourceTemplate () // _CRS: Current Resource Settings - { - Interrupt (ResourceConsumer, Level, ActiveHigh, Shared, ,, ) - { - 0x000000A5, - } - Interrupt (ResourceConsumer, Level, ActiveHigh, SharedAndWake, ,, ) - { - 0x000000A2, - } - }) - Method (CCVL, 0, NotSerialized) - { - Return (CCST) /* \_SB_.CCST */ - } - - Method (_DSM, 4, NotSerialized) // _DSM: Device-Specific Method - { - While (One) - { - Name (_T_0, Buffer (One) // _T_x: Emitted by ASL Compiler, x=0-9, A-Z - { - 0x00 // . - }) - CopyObject (ToBuffer (Arg0), _T_0) /* \_SB_.URS0.UFN0._DSM._T_0 */ - If ((_T_0 == ToUUID ("fe56cfeb-49d5-4378-a8a2-2978dbe54ad2") /* Unknown UUID */)) - { - While (One) - { - Name (_T_1, Zero) // _T_x: Emitted by ASL Compiler, x=0-9, A-Z - _T_1 = ToInteger (Arg2) - If ((_T_1 == Zero)) - { - While (One) - { - Name (_T_2, Zero) // _T_x: Emitted by ASL Compiler, x=0-9, A-Z - _T_2 = ToInteger (Arg1) - If ((_T_2 == Zero)) - { - Return (Buffer (One) - { - 0x03 // . - }) - Break - } - Else - { - Return (Buffer (One) - { - 0x01 // . - }) - Break - } - - Break - } - - Return (Buffer (One) - { - 0x00 // . - }) - Break - } - ElseIf ((_T_1 == One)) - { - Return (0x20) - Break - } - Else - { - Return (Buffer (One) - { - 0x00 // . - }) - Break - } - - Break - } - } - ElseIf ((_T_0 == ToUUID ("18de299f-9476-4fc9-b43b-8aeb713ed751") /* Unknown UUID */)) - { - While (One) - { - Name (_T_3, Zero) // _T_x: Emitted by ASL Compiler, x=0-9, A-Z - _T_3 = ToInteger (Arg2) - If ((_T_3 == Zero)) - { - While (One) - { - Name (_T_4, Zero) // _T_x: Emitted by ASL Compiler, x=0-9, A-Z - _T_4 = ToInteger (Arg1) - If ((_T_4 == Zero)) - { - Return (Buffer (One) - { - 0x03 // . - }) - Break - } - Else - { - Return (Buffer (One) - { - 0x01 // . - }) - Break - } - - Break - } - - Return (Buffer (One) - { - 0x00 // . - }) - Break - } - ElseIf ((_T_3 == One)) - { - Return (0x39) - Break - } - Else - { - Return (Buffer (One) - { - 0x00 // . - }) - Break - } - - Break - } - } - Else - { - Return (Buffer (One) - { - 0x00 // . - }) - Break - } - - Break - } - } - - Method (PHYC, 0, NotSerialized) - { - Name (CFG0, Package (0x12) - { - Package (0x03) - { - Zero, - 0x088E2210, - 0x23 - }, - - Package (0x03) - { - Zero, - 0x088E2004, - 0x03 - }, - - Package (0x03) - { - Zero, - 0x088E218C, - 0x7C - }, - - Package (0x03) - { - Zero, - 0x088E202C, - 0x80 - }, - - Package (0x03) - { - Zero, - 0x088E2184, - 0x0A - }, - - Package (0x03) - { - Zero, - 0x088E20B4, - 0x19 - }, - - Package (0x03) - { - Zero, - 0x088E2194, - 0x40 - }, - - Package (0x03) - { - Zero, - 0x088E2198, - 0x28 - }, - - Package (0x03) - { - Zero, - 0x088E2214, - 0x21 - }, - - Package (0x03) - { - Zero, - 0x088E2220, - Zero - }, - - Package (0x03) - { - Zero, - 0x088E2224, - 0x58 - }, - - Package (0x03) - { - Zero, - 0x088E2240, - 0x35 - }, - - Package (0x03) - { - Zero, - 0x088E2244, - 0x29 - }, - - Package (0x03) - { - Zero, - 0x088E2248, - 0xCA - }, - - Package (0x03) - { - Zero, - 0x088E224C, - 0x04 - }, - - Package (0x03) - { - Zero, - 0x088E2250, - 0x03 - }, - - Package (0x03) - { - Zero, - 0x088E223C, - Zero - }, - - Package (0x03) - { - Zero, - 0x088E2210, - 0x22 - } - }) - Return (CFG0) /* \_SB_.URS0.UFN0.PHYC.CFG0 */ - } - } - } - - Name (HPDB, Zero) - Name (PINA, Zero) - Name (CCST, Buffer (One) - { - 0x02 // . - }) - Name (HSFL, Buffer (One) - { - 0x00 // . - }) - Name (HPDS, Zero) - Name (USBC, Buffer (One) - { - 0x0B // . - }) - Name (DPPN, 0x0D) - Name (MUXC, Buffer (One) - { - 0x00 // . - }) - Name (DPP1, Buffer (One) - { - 0x00 // . - }) - Name (SKYD, Buffer (One) - { - 0x01 // . - }) - -Include("cust_thermal_zones.asl") - - Name (HWNH, Zero) - Name (HWNL, Zero) - Device (HWN1) - { - Name (_HID, "QCOM02A9") // _HID: Hardware ID - Alias (PSUB, _SUB) - Method (_STA, 0, NotSerialized) // _STA: Status - { - If ((HWNH == Zero)) - { - Return (Zero) - } - Else - { - Return (0x0F) - } - } - - Name (_DEP, Package (0x01) // _DEP: Dependencies - { - PMIC - }) - Method (_CRS, 0, NotSerialized) // _CRS: Current Resource Settings - { - Name (RBUF, ResourceTemplate () - { - GpioInt (Level, ActiveHigh, Exclusive, PullNone, 0x0000, - "\\_SB.PM01", 0x00, ResourceConsumer, , - ) - { // Pin list - 0x0190 - } - }) - Return (RBUF) /* \_SB_.HWN1._CRS.RBUF */ - } - - Method (HAPI, 0, NotSerialized) - { - Name (CFG0, Package (0x03) - { - One, - One, - One - }) - Return (CFG0) /* \_SB_.HWN1.HAPI.CFG0 */ - } - - Method (HAPC, 0, NotSerialized) - { - Name (CFG0, Package (0x16) - { - Zero, - 0x0984, - Zero, - One, - One, - One, - One, - Zero, - 0x04, - One, - 0x03, - 0x14, - One, - 0x03, - Zero, - Zero, - 0x06, - Zero, - Zero, - 0x0535, - 0x03, - One - }) - Return (CFG0) /* \_SB_.HWN1.HAPC.CFG0 */ - } - } - - Device (HWN0) - { - Name (_HID, "QCOM02A8") // _HID: Hardware ID - Alias (PSUB, _SUB) - Name (_UID, Zero) // _UID: Unique ID - Method (_STA, 0, NotSerialized) // _STA: Status - { - If ((^^HWNL == Zero)) - { - Return (Zero) - } - Else - { - Return (0x0F) - } - } - - Method (HWNL, 0, NotSerialized) - { - Name (CFG0, Package (0x10) - { - One, - 0x03, - 0x019B, - 0x14, - 0x20, - 0x02, - 0x40, - 0x03, - 0x80, - 0x04, - One, - One, - One, - 0x03, - One, - One - }) - Return (CFG0) /* \_SB_.HWN0.HWNL.CFG0 */ - } - } - - Device (CONT) - { - Name (_HID, "CONT1234") // _HID: Hardware ID - Name (_CID, "PNP0C60" /* Display Sensor Device */) // _CID: Compatible ID - } - - Device (POWR) - { - Name (_HID, "POWR1234") // _HID: Hardware ID - Name (_CID, "PNP0C40" /* Standard Button Controller */) // _CID: Compatible ID - } - - Device (SVBI) - { - Name (_HID, "SAMM0901") // _HID: Hardware ID - Name (_SUB, "RENEGA0E") // _SUB: Subsystem ID - } - - Device (TSC1) - { - //change HID back to TEST3330 - Name (_HID, "NVTS3667") // _HID: Hardware ID - //Name (_CID, "PNP0C50" /* HID Protocol Device (I2C bus) */) // _CID: Compatible ID - //Name (_SUB, "C153144D") // _SUB: Subsystem ID - Name (_HRV, One) // _HRV: Hardware Revision - Name (_ADR, Zero) // _ADR: Address - Name (_UID, One) // _UID: Unique ID - Name (_DEP, Package (0x03) // _DEP: Dependencies - { - PEP0, - GIO0, - IC15 - }) - Method (_CRS, 0, NotSerialized) // _CRS: Current Resource Settings - { - Name (RBUF, ResourceTemplate () - { - //0x62 - I2cSerialBusV2 (0x0001, ControllerInitiated, 0x00061A80, - AddressingMode7Bit, "\\_SB.IC15", - 0x00, ResourceConsumer, , Exclusive, - ) - GpioInt (Edge, ActiveLow, ExclusiveAndWake, PullUp, 0x0000, - "\\_SB.GIO0", 0x00, ResourceConsumer, , - ) - { // Pin list - 31 - } - //GpioIo (Exclusive, PullNone, 0x0000, 0x0000, IoRestrictionNone, - // "\\_SB.GIO0", 0x00, ResourceConsumer, , - // ) - // { // Pin list - // 32 - // } - }) - - - OperationRegion(TOP1, GenericSerialBus, 0x00, 0x100) // GenericSerialBus device at command value offset - Field(TOP1, BufferAcc, NoLock, Preserve) - { - Connection(I2CSerialBusV2(0x5a,,100000,,"\_SB.I2C",,,,,RawDataBuffer(){1,6})), - AccessAs(BufferAcc, AttribByte), // Use the GenericSerialBus Read/Write Byte protocol - FLD0, 8, // Virtual register at command value 0. - FLD1, 8, // Virtual register at command value 1. - FLD2, 8 // Virtual register at command value 2. - } - // Create the GenericSerialBus data buffer - Name(BUFF, Buffer(3){}) - // Create GenericSerialBus data buffer as BUFF - CreateByteField(BUFF, 0x00, STAT) // STAT = Status (Byte) - CreateByteField(BUFF, 0x02, DATA) // DATA = Data (Byte) - // Read a byte of data from the device using command value 1 - Store(FLD1, BUFF) // Invoke a Read Byte transaction - If(LEqual(STAT, 0x00)) // Successful? - { - Store(0x16, DATA) // Save 0x16 into the data buffer - Store(BUFF, FLD2) // Invoke a Write Byte transaction - } - // Write the byte ‘0x16’ to the device using command value 2 - - - - Return (RBUF) /* \_SB_.TSC1._CRS.RBUF */ - } - - Method (_DSM, 4, NotSerialized) // _DSM: Device-Specific Method - { - While (One) - { - Name (_T_0, Buffer (0x01) // _T_x: Emitted by ASL Compiler - { - 0x00 // . - }) - CopyObject (ToBuffer (Arg0), _T_0) /* \_SB_.TSC1._DSM._T_0 */ - If ((_T_0 == ToUUID ("3cdff6f7-4267-4555-ad05-b30a3d8938de") /* HID I2C Device */)) - { - While (One) - { - Name (_T_1, 0x00) // _T_x: Emitted by ASL Compiler - _T_1 = ToInteger (Arg2) - If ((_T_1 == Zero)) - { - While (One) - { - Name (_T_2, 0x00) // _T_x: Emitted by ASL Compiler - _T_2 = ToInteger (Arg1) - If ((_T_2 == One)) - { - Return (Buffer (One) - { - 0x03 // . - }) - } - Else - { - Return (Buffer (One) - { - 0x00 // . - }) - } - - Break - } - } - ElseIf ((_T_1 == One)) - { - Debug = "Method _DSM Function HID" - Return (0x20) - } - Else - { - } - - Break - } - } - Else - { - Return (Buffer (One) - { - 0x00 // . - }) - } - - Break - } - } - - Name (PGID, Buffer (0x0A) - { - "\\_SB.TSC1" - }) - Name (DBUF, Buffer (DBFL){}) - CreateByteField (DBUF, Zero, STAT) - CreateByteField (DBUF, 0x02, DVAL) - CreateField (DBUF, 0x18, 0xA0, DEID) - Method (_S1D, 0, NotSerialized) // _S1D: S1 Device State - { - Return (0x03) - } - - Method (_S2D, 0, NotSerialized) // _S2D: S2 Device State - { - Return (0x03) - } - - Method (_S3D, 0, NotSerialized) // _S3D: S3 Device State - { - Return (0x03) - } - - Method (_PS0, 0, NotSerialized) // _PS0: Power State 0 - { - DEID = Buffer (ESNL){} - DVAL = Zero - DEID = PGID /* \_SB_.TSC1.PGID */ - If (^^ABD.AVBL) - { - ^^PEP0.FLD0 = DBUF /* \_SB_.TSC1.DBUF */ - } - } - - Method (_PS3, 0, NotSerialized) // _PS3: Power State 3 - { - DEID = Buffer (ESNL){} - DVAL = 0x03 - DEID = PGID /* \_SB_.TSC1.PGID */ - If (^^ABD.AVBL) - { - ^^PEP0.FLD0 = DBUF /* \_SB_.TSC1.DBUF */ - } - } - } - - Device (TSC2) - { - Name (_HID, "FTTS8719") // _HID: Hardware ID - //Name (_CID, "PNP0C50" /* HID Protocol Device (I2C bus) */) // _CID: Compatible ID - //Name (_SUB, "C153144D") // _SUB: Subsystem ID - Name (_HRV, One) // _HRV: Hardware Revision - Name (_ADR, Zero) // _ADR: Address - Name (_UID, One) // _UID: Unique ID - Name (_DEP, Package (0x03) // _DEP: Dependencies - { - PEP0, - GIO0, - IC15 - }) - Method (_CRS, 0, NotSerialized) // _CRS: Current Resource Settings - { - Name (RBUF, ResourceTemplate () - { - I2cSerialBusV2 (0x0038, ControllerInitiated, 0x00061A80, - AddressingMode7Bit, "\\_SB.IC15", - 0x00, ResourceConsumer, , Exclusive, - ) - GpioInt (Edge, ActiveLow, ExclusiveAndWake, PullUp, 0x0000, - "\\_SB.GIO0", 0x00, ResourceConsumer, , - ) - { // Pin list - 31 - } - //GpioIo (Exclusive, PullNone, 0x0000, 0x0000, IoRestrictionNone, - // "\\_SB.GIO0", 0x00, ResourceConsumer, , - // ) - // { // Pin list - // 32 - // } - }) - Return (RBUF) - } - - Method (_DSM, 4, NotSerialized) // _DSM: Device-Specific Method - { - While (One) - { - Name (_T_0, Buffer (0x01) // _T_x: Emitted by ASL Compiler - { - 0x00 // . - }) - CopyObject (ToBuffer (Arg0), _T_0) - If ((_T_0 == ToUUID ("3cdff6f7-4267-4555-ad05-b30a3d8938de") /* HID I2C Device */)) - { - While (One) - { - Name (_T_1, 0x00) // _T_x: Emitted by ASL Compiler - _T_1 = ToInteger (Arg2) - If ((_T_1 == Zero)) - { - While (One) - { - Name (_T_2, 0x00) // _T_x: Emitted by ASL Compiler - _T_2 = ToInteger (Arg1) - If ((_T_2 == One)) - { - Return (Buffer (One) - { - 0x03 // . - }) - } - Else - { - Return (Buffer (One) - { - 0x00 // . - }) - } - - Break - } - } - ElseIf ((_T_1 == One)) - { - Debug = "Method _DSM Function HID" - Return (0x20) - } - Else - { - } - - Break - } - } - Else - { - Return (Buffer (One) - { - 0x00 // . - }) - } - - Break - } - } - - Name (PGID, Buffer (0x0A) - { - "\\_SB.TSC2" - }) - Name (DBUF, Buffer (DBFL){}) - CreateByteField (DBUF, Zero, STAT) - CreateByteField (DBUF, 0x02, DVAL) - CreateField (DBUF, 0x18, 0xA0, DEID) - Method (_S1D, 0, NotSerialized) // _S1D: S1 Device State - { - Return (0x03) - } - - Method (_S2D, 0, NotSerialized) // _S2D: S2 Device State - { - Return (0x03) - } - - Method (_S3D, 0, NotSerialized) // _S3D: S3 Device State - { - Return (0x03) - } - - Method (_PS0, 0, NotSerialized) // _PS0: Power State 0 - { - DEID = Buffer (ESNL){} - DVAL = Zero - DEID = PGID - If (^^ABD.AVBL) - { - ^^PEP0.FLD0 = DBUF - } - } - - Method (_PS3, 0, NotSerialized) // _PS3: Power State 3 - { - DEID = Buffer (ESNL){} - DVAL = 0x03 - DEID = PGID - If (^^ABD.AVBL) - { - ^^PEP0.FLD0 = DBUF - } - } - } - - Scope (\_SB.PEP0) - { - Method (FPMX, 0, NotSerialized) - { - Return (FPXC) /* \_SB_.PEP0.FPXC */ - } - - Name (FPXC, Package (0x01) - { - Package (0x04) - { - "DEVICE", - "\\_SB.FPRT", - Package (0x08) - { // beryllium uses an ic with enable pin to control power to fp, while yoga shuts and turns on a regulator - /*"DSTATE", - Zero, - Package (0x02) - { - "PMICVREGVOTE", - Package (0x06) - { - "PPP_RESOURCE_ID_LDO19_A", - One, // Voltage Regulator Type, 1 = LDO - 0x002F4D60, // Voltage (uV) - One, // Enable - 0x07, // Power Mode - Zero // Headroom - } - }, */ - - Package (0x02)//enable - { - "TLMMGPIO", - Package (0x06) - { - 94, - One, - Zero, - One, - Zero, - One - } - }, - - Package (0x02) - { - "DELAY", - Package (0x01) - { - 0x0A - } - }, - - Package (0x02) - { - "TLMMGPIO", - Package (0x06) - { - 121, // TLMM GPIO - One, // State : 1 = HIGH - Zero, // Function Select : 0 = ?? - One, // Direction : 0 = INPUT - Zero, // Pull Type : 1 = PULL_DOWN - Zero // Drive Strength : 0 = 2mA - } - }, - - Package (0x02) - { - "TLMMGPIO", - Package (0x06) - { - 37, - One, - Zero, - One, - Zero, - Zero - } - }, - - Package (0x02) - { - "DELAY", - Package (0x01) - { - One - } - }, - - Package (0x02) - { - "TLMMGPIO", - Package (0x06) - { - 121, - One, - Zero, - One, - One, - Zero - } - } - }, - - Package (0x03) - { - /*"DSTATE", - 0x03, - Package (0x02) - { - "PMICVREGVOTE", - Package (0x06) - { - "PPP_RESOURCE_ID_LDO19_A", - One, - Zero, - Zero, - Zero, - Zero - } - }*/ - - Package (0x02)//disable - { - "TLMMGPIO", - Package (0x06) - { - 94, - Zero, - Zero, - Zero, - One, - Zero - } - }, - - } - } - }) - } - - - // needs pin 94 high to get power //power enable pin - // Also seems to need VREG_S4A_1P8 (pm8998-smps4) edit:apparently that one is always on - Device (FPRT) - { - Name (_HID, "GXFP55A4") // _HID: Hardware ID - Name (_CID, "GXFP55A4") // _CID: Compatible ID - Name (_UID, "GXFP55A4") // _UID: Unique ID - Name (_DEP, Package (0x03) // _DEP: Dependencies - { - \_SB.GIO0, - \_SB.PEP0, - \_SB.TREE - }) - Method (_CRS, 0, Serialized) // _CRS: Current Resource Settings - { - Name (BBUF, ResourceTemplate () - { - GpioIo (Exclusive, PullUp, 0x0000, 0x0000, IoRestrictionNone, - "\\_SB.GIO0", 0x00, ResourceConsumer, , - ) - { // Pin list - 37 //fp_reset //originally 104/0x68 - } - GpioInt (Edge, ActiveHigh, ExclusiveAndWake, PullNone, 0x0000, - "\\_SB.GIO0", 0x00, ResourceConsumer, , - ) - { // Pin list - 121 //fp_int 0x79 //same as on yoga - } - }) - Return (BBUF) /* \_SB_.FPRT._CRS.BBUF */ - } - - Name (PGID, Buffer (0x0A) - { - "\\_SB.FPRT" - }) - Name (DBUF, Buffer (DBFL){}) - CreateByteField (DBUF, Zero, STAT) - CreateByteField (DBUF, 0x02, DVAL) - CreateField (DBUF, 0x18, 0xA0, DEID) - Method (_S1D, 0, NotSerialized) // _S1D: S1 Device State - { - Return (0x03) - } - - Method (_S2D, 0, NotSerialized) // _S2D: S2 Device State - { - Return (0x03) - } - - Method (_S3D, 0, NotSerialized) // _S3D: S3 Device State - { - Return (0x03) - } - - Method (_PS0, 0, NotSerialized) // _PS0: Power State 0 - { - DEID = Buffer (ESNL){} - DVAL = Zero - DEID = PGID /* \_SB_.FPRT.PGID */ - If (\_SB.ABD.AVBL) - { - \_SB.PEP0.FLD0 = DBUF /* \_SB_.FPRT.DBUF */ - } - } - - Method (_PS3, 0, NotSerialized) // _PS3: Power State 3 - { - DEID = Buffer (ESNL){} - DVAL = 0x03 - DEID = PGID /* \_SB_.FPRT.PGID */ - If (\_SB.ABD.AVBL) - { - \_SB.PEP0.FLD0 = DBUF /* \_SB_.FPRT.DBUF */ - } - } - } - - Device (BTNS) - { - Name (_HID, "ACPI0011" /* Generic Buttons Device */) // _HID: Hardware ID - Alias (PSUB, _SUB) - Name (_UID, Zero) // _UID: Unique ID - Method (_CRS, 0, NotSerialized) // _CRS: Current Resource Settings - { - Name (RBUF, ResourceTemplate () - { - //Power - GpioInt (Edge, ActiveBoth, Exclusive, PullDown, 0x0010, - "\\_SB.PM01", 0x00, ResourceConsumer, , - ) - { // Pin list - 0x0000 - } - //Vol Up - GpioInt (Edge, ActiveBoth, ExclusiveAndWake, PullUp, 0x0000, - "\\_SB.PM01", 0x00, ResourceConsumer, , - ) - { // Pin list - 0x0085 - } - //Vol Down - GpioInt (Edge, ActiveBoth, ExclusiveAndWake, PullDown, 0x0000, - "\\_SB.PM01", 0x00, ResourceConsumer, , - ) - { // Pin list - 0x0001 - } - }) - Return (RBUF) /* \_SB_.BTNS._CRS.RBUF */ - } - - Name (_DSD, Package (0x02) // _DSD: Device-Specific Data - { - ToUUID ("fa6bd625-9ce8-470d-a2c7-b3ca36c4282e") /* Generic Buttons Device */, - Package (0x06) - { - Package (0x05) - { - Zero, - One, - Zero, - One, - 0x0D - }, - - Package (0x05) - { - One, - Zero, - One, - One, - 0x81 - }, - - Package (0x05) - { - One, - One, - One, - 0x0C, - 0xE9 - }, - - Package (0x05) - { - One, - 0x02, - One, - 0x0C, - 0xEA - }, - - Package (0x05) - { - One, - 0x03, - One, - 0x90, - 0x20 - }, - - Package (0x05) - { - One, - 0x04, - One, - 0x90, - 0x21 - } - } - }) - } - - Device (QDCI) - { - Name (_DEP, Package (0x01) // _DEP: Dependencies - { - GLNK - }) - Name (_HID, "QCOM0224") // _HID: Hardware ID - Alias (PSUB, _SUB) - } - - Include ("wcnss_bt.asl") - - Include("adc.asl") - } - - Name (WAKP, Package (0x02) - { - Zero, - Zero - }) -} - diff --git a/legacy/beryllium/adc.asl b/legacy/beryllium/adc.asl deleted file mode 100644 index 01b979e..0000000 --- a/legacy/beryllium/adc.asl +++ /dev/null @@ -1,707 +0,0 @@ -/*============================================================================ - FILE: adc.asl - - OVERVIEW: This file contains the board-specific configuration info for - ADC1 - qcadc analog-to-digital converter (ADC): ACPI device - definitions, common settings, etc. - - DEPENDENCIES: None - -============================================================================*/ -/*---------------------------------------------------------------------------- - * QCADC - * -------------------------------------------------------------------------*/ - -Device(ADC1) -{ - /*---------------------------------------------------------------------------- - * Dependencies - * -------------------------------------------------------------------------*/ - Name(_DEP, Package(0x2) - { - \_SB_.SPMI, - \_SB_.PMIC - }) - - /*---------------------------------------------------------------------------- - * HID - * -------------------------------------------------------------------------*/ - Name(_HID, "QCOM0221") - Alias(\_SB.PSUB, _SUB) - Name(_UID, 0) - - /*---------------------------------------------------------------------------- - * ADC Resources - * -------------------------------------------------------------------------*/ - Method(_CRS) - { - /* - * Interrupts - */ - Name (INTB, ResourceTemplate() - { - // VAdc - EOC - // ID = {slave id}{perph id}{int} = {0}{0011 0001}{000} = 0x188 - GpioInt(Edge, ActiveHigh, ExclusiveAndWake, PullUp, 0, "\\_SB.PM01", , , , RawDataBuffer(){0x2}) {32} // 0x188 - PM_INT__VADC_HC1_USR__EOC - - // VAdc TM - All interrupts - // ID = {slave id}{perph id}{int} = {0}{0011 0100}{000} = 0x1A0 - GpioInt(Edge, ActiveHigh, ExclusiveAndWake, PullUp, 0, "\\_SB.PM01", , , , RawDataBuffer(){0x2}) {40} // 0x1A0 - PM_INT__VADC_HC7_BTM__THR - - // FgAdc - All interrupts - // ID = {slave id}{perph id}{int} = {10}{0100 0101}{000} = 0x1228 - GpioInt(Edge, ActiveHigh, ExclusiveAndWake, PullUp, 0, "\\_SB.PM01", , , , RawDataBuffer(){0x2}) {360} // 0x1228 - PM_INT__FG_ADC__BT_ID - }) - - /* - * SPMI peripherals - */ - Name(NAM, Buffer() {"\\_SB.SPMI"}) - - // VAdc - Name(VUSR, Buffer() - { - 0x8E, // SPB Descriptor - 0x13, 0x00, // Length including NAM above - 0x01, // +0x00 SPB Descriptor Revision - 0x00, // +0x01 Resource Source Index - 0xC1, // +0x02 Bus type - vendor defined values are in the range 0xc0-0xff - 0x02, // +0x03 Consumer + controller initiated - 0x00, 0x31, // +0x04 Type specific flags . Slave id, Upper8 bit address - 0x01, // +0x06 Type specific revision - 0x00, 0x00 // +0x07 type specific data length - // +0x09 - 0xd bytes for NULL-terminated NAM - // Length = 0x13 - }) - - // VAdc TM - Name(VBTM, Buffer() - { - 0x8E, // SPB Descriptor - 0x13, 0x00, // Length including NAM above - 0x01, // +0x00 SPB Descriptor Revision - 0x00, // +0x01 Resource Source Index - 0xC1, // +0x02 Bus type - vendor defined values are in the range 0xc0-0xff - 0x02, // +0x03 Consumer + controller initiated - 0x00, 0x34, // +0x04 Type specific flags . Slave id, Upper8 bit address - 0x01, // +0x06 Type specific revision - 0x00, 0x00 // +0x07 type specific data length - // +0x09 - 0xd bytes for NULL-terminated NAM - // Length = 0x13 - }) - - // FgAdc - Name(FGRR, Buffer() - { - 0x8E, // SPB Descriptor - 0x13, 0x00, // Length including NAM above - 0x01, // +0x00 SPB Descriptor Revision - 0x00, // +0x01 Resource Source Index - 0xC1, // +0x02 Bus type - vendor defined values are in the range 0xc0-0xff - 0x02, // +0x03 Consumer + controller initiated - 0x02, 0x45, // +0x04 Type specific flags . Slave id, Upper8 bit address - 0x01, // +0x06 Type specific revision - 0x00, 0x00 // +0x07 type specific data length - // +0x09 - 0xd bytes for NULL-terminated NAM - // Length = 0x13 - }) - - // Name(END, Buffer() {0x79, 0x00}) - - // {VUSR, NAM, VBTM, NAM, FGRR, NAM, INTB} - // {Local1, Local2, Local3, INTB} - // {Local4, Local5} - // {Local0} - Concatenate(VUSR, NAM, Local1) - Concatenate(VBTM, NAM, Local2) - Concatenate(FGRR, NAM, Local3) - Concatenate(Local1, Local2, Local4) - Concatenate(Local3, INTB, Local5) - Concatenate(Local4, Local5, Local0) - - Return(Local0) - } - - /*---------------------------------------------------------------------------- - * Device configuration - * -------------------------------------------------------------------------*/ - /* - * General ADC properties - * - * bHasVAdc: - * Whether or not TM is supported. - * 0 - Not supported - * 1 - Supported - * - * bHasTM: - * Whether or not TM is supported. - * 0 - Not supported - * 1 - Supported - * - * bHasFgAdc: - * Whether or not FGADC is supported. - * 0 - Not supported - * 1 - Supported - * - */ - Method (ADDV) - { - Return (Package() - { - /* .bHasVAdc = */ 1, - /* .bHasTM = */ 1, - /* .bHasFgAdc = */ 1, - }) - } - - /*---------------------------------------------------------------------------- - * Voltage ADC (VADC) Configuration - * -------------------------------------------------------------------------*/ - /* - * General VADC properties - * - * bUsesInterrupts: - * End-of-conversion interrupt mode. - * 0 - Polling mode - * 1 - Interrupt mode - * - * uFullScale_code: - * Full-scale ADC code. - * - * uFullScale_uV: - * Full-scale ADC voltage in uV. - * - * uReadTimeout_us: - * Timeout for reading ADC channels in us. - * - * uLDOSettlingTime_us: - * LDO settling time in us. - * - * ucMasterID: - * Master ID to send the interrupt to. - * - * ucPmicDevice: - * PMIC which has the VAdc. - * - * usMinDigRev: - * Minimum digital version - * - * usMinAnaRev: - * Minimum analog version - * - * ucPerphType: - * ADC peripheral type. - * - */ - Method (GENP) - { - Return (Package() - { - /* .bUsesInterrupts = */ 0, - /* .uFullScale_code = */ 0x4000, - /* .uFullScale_uV = */ 1875000, - /* .uReadTimeout_us = */ 500000, - /* .uLDOSettlingTime_us = */ 17, - /* .ucMasterID = */ 0, - /* .ucPmicDevice = */ 0, - /* .usMinDigRev = */ 0x300, - /* .usMinAnaRev = */ 0x100, - /* .ucPerphType = */ 0x8, - }) - } - - /*=========================================================================== - - FUNCTION PTCF - - DESCRIPTION Scales the ADC result from millivolts to 0.001 degrees - Celsius using the PMIC thermistor conversion equation. - - DEPENDENCIES None - - PARAMETERS Arg0 [in] ADC result data (uMicroVolts) - - RETURN VALUE Scaled result in mDegC - - SIDE EFFECTS None - - ===========================================================================*/ - Method (PTCF, 1) - { - /* - * Divide by two to convert from microvolt reading to micro-Kelvin. - * - * Subtract 273160 to convert the temperature from Kelvin to - * 0.001 degrees Celsius. - */ - ShiftRight (Arg0, 1, Local0) - Subtract (Local0, 273160, Local0) - Return (Local0) - } - - /*=========================================================================== - - FUNCTION PTCI - - DESCRIPTION Inverse of PTCF - scaled PMIC temperature to microvolts. - - DEPENDENCIES None - - PARAMETERS Arg0 [in] temperature in mDegC - - RETURN VALUE ADC result data (uMicroVolts) - - SIDE EFFECTS None - - ===========================================================================*/ - Method (PTCI, 1) - { - Add (Arg0, 273160, Local0) - ShiftLeft (Local0, 1, Local0) - Return (Local0) - } - - /* - * VADC channel to GPIO mapping - * - */ - Method (VGIO) - { - Return (Package() - { - Package() - { - /* .GPIO = */ 8, - /* .aucChannels = */ Buffer(){0x12, 0x32, 0x52, 0x72}, - }, - - Package() - { - /* .GPIO = */ 9, - /* .aucChannels = */ Buffer(){0x13, 0x33, 0x53, 0x73}, - }, - - Package() - { - /* .GPIO = */ 10, - /* .aucChannels = */ Buffer(){0x14, 0x34, 0x54, 0x74}, - }, - - Package() - { - /* .GPIO = */ 11, - /* .aucChannels = */ Buffer(){0x15, 0x35, 0x55, 0x75}, - }, - - Package() - { - /* .GPIO = */ 12, - /* .aucChannels = */ Buffer(){0x16, 0x36, 0x56, 0x76}, - }, - - Package() - { - /* .GPIO = */ 21, - /* .aucChannels = */ Buffer(){0x17, 0x37, 0x57, 0x77, 0x97}, - }, - - Package() - { - /* .GPIO = */ 22, - /* .aucChannels = */ Buffer(){0x18, 0x38, 0x58, 0x78, 0x98}, - }, - - Package() - { - /* .GPIO = */ 23, - /* .aucChannels = */ Buffer(){0x19, 0x39, 0x59, 0x79, 0x99}, - }, - }) - } - - /*---------------------------------------------------------------------------- - * Voltage ADC Threshold Monitor (VADCTM) Configuration - * -------------------------------------------------------------------------*/ - /* - * General VADCTM properties - * - * eAverageMode: - * Obtains N ADC readings and averages them together. - * 0 - VADCTM_AVERAGE_1_SAMPLE - * 1 - VADCTM_AVERAGE_2_SAMPLES - * 2 - VADCTM_AVERAGE_4_SAMPLES - * 3 - VADCTM_AVERAGE_8_SAMPLES - * 4 - VADCTM_AVERAGE_16_SAMPLES - * - * eDecimationRatio: - * The decimation ratio. - * 0 - VADCTM_DECIMATION_RATIO_256 - * 1 - VADCTM_DECIMATION_RATIO_512 - * 2 - VADCTM_DECIMATION_RATIO_1024 - * - * uFullScale_code: - * Full-scale ADC code. - * - * uFullScale_uV: - * Full-scale ADC voltage in uV. - * - * ucMasterID: - * Master ID to send the interrupt to. - * - * ucPmicDevice: - * PMIC which has the VAdc. - * - * usMinDigRev: - * Minimum digital version - * - * usMinAnaRev: - * Minimum analog version - * - * ucPerphType: - * ADC peripheral type. - * - */ - Method (VTGN) - { - Return (Package() - { - /* .eAverageMode = */ 2, - /* .eDecimationRatio = */ 2, - /* .uFullScale_code = */ 0x4000, - /* .uFullScale_uV = */ 1875000, - /* .ucMasterID = */ 0, - /* .ucPmicDevice = */ 0, - /* .usMinDigRev = */ 0x300, - /* .usMinAnaRev = */ 0x100, - /* .ucPerphType = */ 0x8, - }) - } - - /*---------------------------------------------------------------------------- - * Fuel Gauge ADC (FGADC) Configuration - * -------------------------------------------------------------------------*/ - /* - * General FGADC properties - * - * skinTempThreshRange: - * Range for skin temperature thresholds - * - * chgTempThreshRange: - * Range for charger temperature thresholds - * - * uFullScale_code: - * Full scale ADC value in code. - * - * uFullScale_uV: - * Full scale ADC value in microvolts. - * - * uMicroVoltsPerMilliAmps: - * Microvolts per milliamp scaling factor. - * - * uCodePerKelvin: - * Code per Kelvin scaling factor. - * - * uBattIdClipThresh: - * Max code for a BATT ID channel. - * - * uMaxWaitTimeus: - * Maximum time to wait for a reading to complete in microseconds. - * - * uSlaveId: - * PMIC slave ID. - * - * ucPmicDevice: - * PMIC which has the VAdc. - * - * ucPerphType: - * ADC peripheral type. - * - */ - Method (GENF) - { - Return (Package() - { - /* .skinTempThreshRange.nMin = */ 0xFFFFFFE2, // -30 - /* .skinTempThreshRange.nMax = */ 97, - /* .chgTempThreshRange.nMin = */ 0xFFFFFFCE, // -50 - /* .chgTempThreshRange.nMax = */ 160, - /* .uFullScale_code = */ 0x3ff, - /* .uFullScale_uV = */ 2500000, - /* .uMicroVoltsPerMilliAmps = */ 500, - /* .uCodePerKelvin = */ 4, - /* .uBattIdClipThresh = */ 820, - /* .uMaxWaitTimeUs = */ 5000000, - /* .uSlaveId = */ 2, - /* .ucPmicDevice = */ 1, - /* .ucPerphType = */ 0xD, - }) - } - - /* - * FGADC Channel Configuration Table - * - * The following table is the list of channels the FGADC can read. Below is - * a description of each field: - * - * sName: - * Appropriate string name for the channel from AdcInputs.h. - * - * eChannel: - * Which channel. - * 0 - FGADC_CHAN_SKIN_TEMP - * 1 - FGADC_CHAN_BATT_ID - * 2 - FGADC_CHAN_BATT_ID_FRESH - * 3 - FGADC_CHAN_BATT_ID_5 - * 4 - FGADC_CHAN_BATT_ID_15 - * 5 - FGADC_CHAN_BATT_ID_150 - * 6 - FGADC_CHAN_BATT_THERM - * 7 - FGADC_CHAN_AUX_THERM - * 8 - FGADC_CHAN_USB_IN_V - * 9 - FGADC_CHAN_USB_IN_I - * 10 - FGADC_CHAN_DC_IN_V - * 11 - FGADC_CHAN_DC_IN_I - * 12 - FGADC_CHAN_DIE_TEMP - * 13 - FGADC_CHAN_CHARGER_TEMP - * 14 - FGADC_CHAN_GPIO - * - * eEnable: - * Whether or not to enable the channel. - * 0 - FGADC_DISABLE - * 1 - FGADC_ENABLE - * - * ucTriggers: - * Mask of triggers. Use 0x0 for default trigger configuration. - * - * scalingFactor.num: - * Numerator of the channel scaling - * - * scalingFactor.den: - * Denominator of the channel scaling - * - * eScaling: - * The scaling method to use. - * 0 - FGADC_SCALE_TO_MILLIVOLTS - * 1 - FGADC_SCALE_BATT_ID_TO_OHMS - * 2 - FGADC_SCALE_INTERPOLATE_FROM_MILLIVOLTS (requires uInterpolationTableName) - * 3 - FGADC_SCALE_THERMISTOR - * 4 - FGADC_SCALE_CURRENT_TO_MILLIAMPS - * - * uInterpolationTableName: - * The name of the lookup table in ACPI that will be interpolated to obtain - * a physical value. Note that the physical value (which has default units - * of millivolts unless custom scaling function is used) is passed as the - * input. This value corresponds to the first column of the table. The - * scaled output appears in the physical adc result. - * 0 - No interpolation table - * WXYZ - Where 'WXYZ' is the interpolation table name - * - */ - Method (FCHN) - { - Return (Package() - { - /* BATT_ID_OHMS (BATT_ID pin) */ - Package() - { - /* .sName = */ "BATT_ID_OHMS", - /* .eChannel = */ 1, - /* .eEnable = */ 1, - /* .ucTriggers = */ 0x0, - /* .scalingFactor.num = */ 1, - /* .scalingFactor.den = */ 1, - /* .eScaling = */ 1, - /* .uInterpolationTableName = */ 0, - }, - - /* BATT_ID_OHMS_FRESH (BATT_ID pin) */ - Package() - { - /* .sName = */ "BATT_ID_OHMS_FRESH", - /* .eChannel = */ 2, - /* .eEnable = */ 1, - /* .ucTriggers = */ 0x0, - /* .scalingFactor.num = */ 1, - /* .scalingFactor.den = */ 1, - /* .eScaling = */ 1, - /* .uInterpolationTableName = */ 0, - }, - - /* BATT_THERM (BATT_THERM pin) */ - Package() - { - /* .sName = */ "BATT_THERM", - /* .eChannel = */ 6, - /* .eEnable = */ 1, - /* .ucTriggers = */ 0x0, - /* .scalingFactor.num = */ 1, - /* .scalingFactor.den = */ 1, - /* .eScaling = */ 3, - /* .uInterpolationTableName = */ 0, - }, - - /* AUX_THERM (AUX_THERM pin) */ - Package() - { - /* .sName = */ "AUX_THERM", - /* .eChannel = */ 7, - /* .eEnable = */ 1, - /* .ucTriggers = */ 0x0, - /* .scalingFactor.num = */ 1, - /* .scalingFactor.den = */ 1, - /* .eScaling = */ 3, - /* .uInterpolationTableName = */ 0, - }, - - /* SKIN_THERM (AUX_THERM pin) */ - Package() - { - /* .sName = */ "SKIN_THERM", - /* .eChannel = */ 0, - /* .eEnable = */ 1, - /* .ucTriggers = */ 0x0, - /* .scalingFactor.num = */ 1, - /* .scalingFactor.den = */ 1, - /* .eScaling = */ 3, - /* .uInterpolationTableName = */ 0, - }, - - /* PMIC_TEMP2 (internal sensor) */ - Package() - { - /* .sName = */ "PMIC_TEMP2", - /* .eChannel = */ 12, - /* .eEnable = */ 1, - /* .ucTriggers = */ 0x0, - /* .scalingFactor.num = */ 3, - /* .scalingFactor.den = */ 2, - /* .eScaling = */ 2, - /* .uInterpolationTableName = */ FGDT, - }, - - /* CHG_TEMP (internal sensor) */ - Package() - { - /* .sName = */ "CHG_TEMP", - /* .eChannel = */ 13, - /* .eEnable = */ 1, - /* .ucTriggers = */ 0x0, - /* .scalingFactor.num = */ 3, - /* .scalingFactor.den = */ 2, - /* .eScaling = */ 2, - /* .uInterpolationTableName = */ FGCT, - }, - - /* USB_IN (USB_IN pin) */ - Package() - { - /* .sName = */ "USB_IN", - /* .eChannel = */ 8, - /* .eEnable = */ 1, - /* .ucTriggers = */ 0x0, - /* .scalingFactor.num = */ 1, - /* .scalingFactor.den = */ 8, - /* .eScaling = */ 0, - /* .uInterpolationTableName = */ 0, - }, - - /* USB_IN_I (USB_IN pin) */ - Package() - { - /* .sName = */ "USB_IN_I", - /* .eChannel = */ 9, - /* .eEnable = */ 1, - /* .ucTriggers = */ 0x0, - /* .scalingFactor.num = */ 1, - /* .scalingFactor.den = */ 1, - /* .eScaling = */ 4, - /* .uInterpolationTableName = */ 0, - }, - - /* DC_IN (DC_IN pin) */ - Package() - { - /* .sName = */ "DC_IN", - /* .eChannel = */ 10, - /* .eEnable = */ 1, - /* .ucTriggers = */ 0x0, - /* .scalingFactor.num = */ 1, - /* .scalingFactor.den = */ 8, - /* .eScaling = */ 0, - /* .uInterpolationTableName = */ 0, - }, - - /* DC_IN_I (DC_IN pin) */ - Package() - { - /* .sName = */ "DC_IN_I", - /* .eChannel = */ 11, - /* .eEnable = */ 1, - /* .ucTriggers = */ 0x0, - /* .scalingFactor.num = */ 1, - /* .scalingFactor.den = */ 1, - /* .eScaling = */ 4, - /* .uInterpolationTableName = */ 0, - }, - - /* FG_GPIO */ - Package() - { - /* .sName = */ "FG_GPIO", - /* .eChannel = */ 14, - /* .eEnable = */ 0, - /* .ucTriggers = */ 0x0, - /* .scalingFactor.num = */ 1, - /* .scalingFactor.den = */ 2, - /* .eScaling = */ 0, - /* .uInterpolationTableName = */ 0, - }, - }) - } - - /* - * Die temperature sensor scaling table - * - * The first column in the table is sensor voltage in millivolts and the - * second column is the temperature in milli degrees C. - * - * Scaling equation: - * - * milliDegC = (uV - 600000) / 2 + 25000 - * - */ - Method (FGDT) - { - Return (Package() - { - Package(){ 450, 0xFFFF3CB0}, // -50000 - Package(){ 870, 160000} - }) - } - - /* - * NOTE: CHG_TEMP on PMI8998 uses fab-dependent scaling in the driver. - * This is the default scaling if no fab-dependent scaling is found. - * It corresponds to GF. - */ - /* - * Charger temperature sensor scaling table - * - * The first column in the table is sensor voltage in millivolts and the - * second column is the temperature in milli degrees C. - * - * Scaling equation: - * - * milliDegC = (1303168 - uV) / 3.784 + 25000 - * - */ - Method (FGCT) - { - Return (Package() - { - Package(){ 1587, 0xFFFF3CB0}, // -50000 - Package(){ 792, 160000} - }) - } -} - -Include("cust_adc.asl") diff --git a/legacy/beryllium/cust_adc.asl b/legacy/beryllium/cust_adc.asl deleted file mode 100644 index 4f188a1..0000000 --- a/legacy/beryllium/cust_adc.asl +++ /dev/null @@ -1,898 +0,0 @@ -/*============================================================================ - FILE: cust_adc.asl - - OVERVIEW: This file contains the board-specific configuration info for - ADC1 - qcadc analog-to-digital converter (ADC): channel - configurations, scaling functions, look-up tables, etc. - - DEPENDENCIES: None - -============================================================================*/ -/*---------------------------------------------------------------------------- - * QCADC - * -------------------------------------------------------------------------*/ - -Scope(\_SB.ADC1) -{ - /*---------------------------------------------------------------------------- - * Voltage ADC (VADC) Configuration - * -------------------------------------------------------------------------*/ - /* - * VADC Channel Configuration Table - * - * The following table is the list of channels the ADC can read. Channels may - * be added or removed. Below is a description of each field: - * - * sName: - * Appropriate string name for the channel from AdcInputs.h. - * - * uAdcHardwareChannel: - * AMUX channel. - * - * eSettlingDelay: - * Holdoff time to allow the voltage to settle before reading the channel. - * 0 - VADC_SETTLING_DELAY_0_US - * 1 - VADC_SETTLING_DELAY_100_US - * 2 - VADC_SETTLING_DELAY_200_US - * 3 - VADC_SETTLING_DELAY_300_US - * 4 - VADC_SETTLING_DELAY_400_US - * 5 - VADC_SETTLING_DELAY_500_US - * 6 - VADC_SETTLING_DELAY_600_US - * 7 - VADC_SETTLING_DELAY_700_US - * 8 - VADC_SETTLING_DELAY_800_US - * 9 - VADC_SETTLING_DELAY_900_US - * 10 - VADC_SETTLING_DELAY_1_MS - * 11 - VADC_SETTLING_DELAY_2_MS - * 12 - VADC_SETTLING_DELAY_4_MS - * 13 - VADC_SETTLING_DELAY_6_MS - * 14 - VADC_SETTLING_DELAY_8_MS - * 15 - VADC_SETTLING_DELAY_10_MS - * - * eAverageMode: - * Obtains N ADC readings and averages them together. - * 0 - VADC_AVERAGE_1_SAMPLE - * 1 - VADC_AVERAGE_2_SAMPLES - * 2 - VADC_AVERAGE_4_SAMPLES - * 3 - VADC_AVERAGE_8_SAMPLES - * 4 - VADC_AVERAGE_16_SAMPLES - * - * eDecimationRatio: - * The decimation ratio. - * 0 - VADC_DECIMATION_RATIO_256 - * 1 - VADC_DECIMATION_RATIO_512 - * 2 - VADC_DECIMATION_RATIO_1024 - * - * eCalMethod: - * Calibration method. - * 0 - VADC_CAL_METHOD_NO_CAL - * 1 - VADC_CAL_METHOD_RATIOMETRIC - * 2 - VADC_CAL_METHOD_ABSOLUTE - * - * scalingFactor.num: - * Numerator of the channel scaling - * - * scalingFactor.den: - * Denominator of the channel scaling - * - * eScalingMethod: - * The scaling method to use. - * 0 - VADC_SCALE_TO_MILLIVOLTS - * 1 - VADC_SCALE_INTERPOLATE_FROM_MILLIVOLTS (requires uInterpolationTableName) - * 2 - VADC_SCALE_THERMISTOR (requires uPullUp and uInterpolationTableName) - * - * uPullUp: - * The pull up resistor value. Use with eScalingMethod == VADC_SCALE_THERMISTOR, - * otherwise, 0. - * - * uInterpolationTableName: - * The name of the lookup table in ACPI that will be interpolated to obtain - * a physical value. Note that the physical value (which has default units - * of millivolts unless custom scaling function is used) is passed as the - * input. This value corresponds to the first column of the table. The - * scaled output appears in the physical adc result. - * 0 - No interpolation table - * WXYZ - Where 'WXYZ' is the interpolation table name - * - * uScalingFunctionName: - * The name of the function to call in the ACPI table to perform custom - * scaling. The input to the custom scaling function is defined by - * eScalingFunctionInput. The output of the custom scaling function is - * the physical value. - * 0 - No scaling function - * WXYZ - Where 'WXYZ' is the scaling function name - * - * Note: if both a custon scaling function & interpolation table are used - * the custom scaling function is called first. - * - * eScalingFunctionInput: - * Defines which ADC result is passed to the custom scaling function. - * 0 - VADC_SCALING_FUNCTION_INPUT_PHYSICAL - * 1 - VADC_SCALING_FUNCTION_INPUT_PERCENT - * 2 - VADC_SCALING_FUNCTION_INPUT_MICROVOLTS - * 3 - VADC_SCALING_FUNCTION_INPUT_CODE - * - */ - Method (CHAN) - { - Return (Package() - { - /* VPH_PWR (VPH_PWR_SNS pin) */ - Package() - { - /* .sName = */ "VPH_PWR", - /* .uAdcHardwareChannel = */ 0x83, - /* .eSettlingDelay = */ 0, - /* .eAverageMode = */ 0, - /* .eDecimationRatio = */ 2, - /* .eCalMethod = */ 2, - /* .scalingFactor.num = */ 1, - /* .scalingFactor.den = */ 3, - /* .eScalingMethod = */ 0, - /* .uPullUp = */ 0, - /* .uInterpolationTableName = */ 0, - /* .uScalingFunctionName = */ 0, - /* .eScalingFunctionInput = */ 0, - }, - - /* VCOIN (VCOIN pin) */ - Package() - { - /* .sName = */ "VCOIN", - /* .uAdcHardwareChannel = */ 0x85, - /* .eSettlingDelay = */ 0, - /* .eAverageMode = */ 0, - /* .eDecimationRatio = */ 2, - /* .eCalMethod = */ 2, - /* .scalingFactor.num = */ 1, - /* .scalingFactor.den = */ 3, - /* .eScalingMethod = */ 0, - /* .uPullUp = */ 0, - /* .uInterpolationTableName = */ 0, - /* .uScalingFunctionName = */ 0, - /* .eScalingFunctionInput = */ 0, - }, - - /* PMIC_TEMP1 (internal sensor) */ - Package() - { - /* .sName = */ "PMIC_THERM", - /* .uAdcHardwareChannel = */ 0x6, - /* .eSettlingDelay = */ 0, - /* .eAverageMode = */ 0, - /* .eDecimationRatio = */ 2, - /* .eCalMethod = */ 2, - /* .scalingFactor.num = */ 1, - /* .scalingFactor.den = */ 1, - /* .eScalingMethod = */ 0, - /* .uPullUp = */ 0, - /* .uInterpolationTableName = */ 0, - /* .uScalingFunctionName = */ PTCF, - /* .eScalingFunctionInput = */ 2, - }, - - /* XO_THERM (XO_THERM pin) */ - Package() - { - /* .sName = */ "XO_THERM", - /* .uAdcHardwareChannel = */ 0x4c, - /* .eSettlingDelay = */ 8, - /* .eAverageMode = */ 0, - /* .eDecimationRatio = */ 2, - /* .eCalMethod = */ 1, - /* .scalingFactor.num = */ 1, - /* .scalingFactor.den = */ 1, - /* .eScalingMethod = */ 2, - /* .uPullUp = */ 100000, - /* .uInterpolationTableName = */ XTTB, - /* .uScalingFunctionName = */ 0, - /* .eScalingFunctionInput = */ 0, - }, - - /* XO_THERM_GPS (XO_THERM pin) */ - Package() - { - /* .sName = */ "XO_THERM_GPS", - /* .uAdcHardwareChannel = */ 0x4c, - /* .eSettlingDelay = */ 8, - /* .eAverageMode = */ 2, - /* .eDecimationRatio = */ 2, - /* .eCalMethod = */ 1, - /* .scalingFactor.num = */ 1, - /* .scalingFactor.den = */ 1, - /* .eScalingMethod = */ 2, - /* .uPullUp = */ 100000, - /* .uInterpolationTableName = */ XTTB, - /* .uScalingFunctionName = */ 0, - /* .eScalingFunctionInput = */ 0, - }, - - /* SYS_THERM1 (AMUX_1 pin) */ - Package() - { - /* .sName = */ "SYS_THERM1", - /* .uAdcHardwareChannel = */ 0x4d, - /* .eSettlingDelay = */ 1, - /* .eAverageMode = */ 0, - /* .eDecimationRatio = */ 2, - /* .eCalMethod = */ 1, - /* .scalingFactor.num = */ 1, - /* .scalingFactor.den = */ 1, - /* .eScalingMethod = */ 2, - /* .uPullUp = */ 100000, - /* .uInterpolationTableName = */ SYTB, - /* .uScalingFunctionName = */ 0, - /* .eScalingFunctionInput = */ 0, - }, - - /* SYS_THERM2 (AMUX_2 pin) */ - Package() - { - /* .sName = */ "SYS_THERM2", - /* .uAdcHardwareChannel = */ 0x4e, - /* .eSettlingDelay = */ 1, - /* .eAverageMode = */ 0, - /* .eDecimationRatio = */ 2, - /* .eCalMethod = */ 1, - /* .scalingFactor.num = */ 1, - /* .scalingFactor.den = */ 1, - /* .eScalingMethod = */ 2, - /* .uPullUp = */ 100000, - /* .uInterpolationTableName = */ SYTB, - /* .uScalingFunctionName = */ 0, - /* .eScalingFunctionInput = */ 0, - }, - - /* PA_THERM (AMUX_3 pin) */ - Package() - { - /* .sName = */ "PA_THERM", - /* .uAdcHardwareChannel = */ 0x4f, - /* .eSettlingDelay = */ 1, - /* .eAverageMode = */ 0, - /* .eDecimationRatio = */ 2, - /* .eCalMethod = */ 1, - /* .scalingFactor.num = */ 1, - /* .scalingFactor.den = */ 1, - /* .eScalingMethod = */ 2, - /* .uPullUp = */ 100000, - /* .uInterpolationTableName = */ SYTB, - /* .uScalingFunctionName = */ 0, - /* .eScalingFunctionInput = */ 0, - }, - - /* PA_THERM1 (AMUX_4 pin) */ - Package() - { - /* .sName = */ "PA_THERM1", - /* .uAdcHardwareChannel = */ 0x50, - /* .eSettlingDelay = */ 1, - /* .eAverageMode = */ 0, - /* .eDecimationRatio = */ 2, - /* .eCalMethod = */ 1, - /* .scalingFactor.num = */ 1, - /* .scalingFactor.den = */ 1, - /* .eScalingMethod = */ 2, - /* .uPullUp = */ 100000, - /* .uInterpolationTableName = */ SYTB, - /* .uScalingFunctionName = */ 0, - /* .eScalingFunctionInput = */ 0, - }, - - /* SYS_THERM3 (AMUX_5 pin) */ - Package() - { - /* .sName = */ "SYS_THERM3", - /* .uAdcHardwareChannel = */ 0x51, - /* .eSettlingDelay = */ 1, - /* .eAverageMode = */ 0, - /* .eDecimationRatio = */ 2, - /* .eCalMethod = */ 1, - /* .scalingFactor.num = */ 1, - /* .scalingFactor.den = */ 1, - /* .eScalingMethod = */ 2, - /* .uPullUp = */ 100000, - /* .uInterpolationTableName = */ SYTB, - /* .uScalingFunctionName = */ 0, - /* .eScalingFunctionInput = */ 0, - }, - }) - } - - /* - * System Thermistor Table - * - * The first column in the table is thermistor resistance R_T in ohms - * and the second column is the temperature in degrees C. - * - * VDD ___ - * | - * > - * P_PU < - * > - * | - * | - * |- - - V_T - * | - * > - * R_T < 100 kOhms (NTCG104EF104FB) - * > - * | - * | - * Gnd - * - */ - Method (SYTB) - { - Return (Package() - { - Package(){4251000, 0xFFFFFFD8}, // -40 - Package(){3004900, 0xFFFFFFDD}, // -35 - Package(){2148900, 0xFFFFFFE2}, // -30 - Package(){1553800, 0xFFFFFFE7}, // -25 - Package(){1135300, 0xFFFFFFEC}, // -20 - Package(){ 837800, 0xFFFFFFF1}, // -15 - Package(){ 624100, 0xFFFFFFF6}, // -10 - Package(){ 469100, 0xFFFFFFFB}, // -5 - Package(){ 355600, 0}, - Package(){ 271800, 5}, - Package(){ 209400, 10}, - Package(){ 162500, 15}, - Package(){ 127000, 20}, - Package(){ 100000, 25}, - Package(){ 79200, 30}, - Package(){ 63200, 35}, - Package(){ 50700, 40}, - Package(){ 40900, 45}, - Package(){ 33200, 50}, - Package(){ 27100, 55}, - Package(){ 22200, 60}, - Package(){ 18300, 65}, - Package(){ 15200, 70}, - Package(){ 12600, 75}, - Package(){ 10600, 80}, - Package(){ 8890, 85}, - Package(){ 7500, 90}, - Package(){ 6360, 95}, - Package(){ 5410, 100}, - Package(){ 4620, 105}, - Package(){ 3970, 110}, - Package(){ 3420, 115}, - Package(){ 2950, 120}, - Package(){ 2560, 125} - }) - } - - /* - * XO Thermistor Table - * - * This lookup table is used to convert the XO thermistor reading to temperature - * in degrees C multiplied by a factor of 1024. - * - * The first column in the table is thermistor resistance R_T in ohms - * - * The second column is the temperature in degrees Celsius multiplied by a factor - * of 1024. - * - * VDD ___ - * | - * > - * P_PU < 100 kOhms - * > - * | - * | - * |- - - V_T - * | - * > - * R_T < 100 kOhms (NTCG104EF104FB) - * > - * | - * | - * Gnd - * - */ - Method (XTTB) - { - Return (Package() - { - Package(){4250657, 0xFFFF6000}, // -40960 - Package(){3962085, 0xFFFF6400}, // -39936 - Package(){3694875, 0xFFFF6800}, // -38912 - Package(){3447322, 0xFFFF6C00}, // -37888 - Package(){3217867, 0xFFFF7000}, // -36864 - Package(){3005082, 0xFFFF7400}, // -35840 - Package(){2807660, 0xFFFF7800}, // -34816 - Package(){2624405, 0xFFFF7C00}, // -33792 - Package(){2454218, 0xFFFF8000}, // -32768 - Package(){2296094, 0xFFFF8400}, // -31744 - Package(){2149108, 0xFFFF8800}, // -30720 - Package(){2012414, 0xFFFF8C00}, // -29696 - Package(){1885232, 0xFFFF9000}, // -28672 - Package(){1766846, 0xFFFF9400}, // -27648 - Package(){1656598, 0xFFFF9800}, // -26624 - Package(){1553884, 0xFFFF9C00}, // -25600 - Package(){1458147, 0xFFFFA000}, // -24576 - Package(){1368873, 0xFFFFA400}, // -23552 - Package(){1285590, 0xFFFFA800}, // -22528 - Package(){1207863, 0xFFFFAC00}, // -21504 - Package(){1135290, 0xFFFFB000}, // -20480 - Package(){1067501, 0xFFFFB400}, // -19456 - Package(){1004155, 0xFFFFB800}, // -18432 - Package(){ 944935, 0xFFFFBC00}, // -17408 - Package(){ 889550, 0xFFFFC000}, // -16384 - Package(){ 837731, 0xFFFFC400}, // -15360 - Package(){ 789229, 0xFFFFC800}, // -14336 - Package(){ 743813, 0xFFFFCC00}, // -13312 - Package(){ 701271, 0xFFFFD000}, // -12288 - Package(){ 661405, 0xFFFFD400}, // -11264 - Package(){ 624032, 0xFFFFD800}, // -10240 - Package(){ 588982, 0xFFFFDC00}, // -9216 - Package(){ 556100, 0xFFFFE000}, // -8192 - Package(){ 525239, 0xFFFFE400}, // -7168 - Package(){ 496264, 0xFFFFE800}, // -6144 - Package(){ 469050, 0xFFFFEC00}, // -5120 - Package(){ 443480, 0xFFFFF000}, // -4096 - Package(){ 419448, 0xFFFFF400}, // -3072 - Package(){ 396851, 0xFFFFF800}, // -2048 - Package(){ 375597, 0xFFFFFC00}, // -1024 - Package(){ 355598, 0}, - Package(){ 336775, 1024}, - Package(){ 319052, 2048}, - Package(){ 302359, 3072}, - Package(){ 286630, 4096}, - Package(){ 271806, 5120}, - Package(){ 257829, 6144}, - Package(){ 244646, 7168}, - Package(){ 232209, 8192}, - Package(){ 220471, 9216}, - Package(){ 209390, 10240}, - Package(){ 198926, 11264}, - Package(){ 189040, 12288}, - Package(){ 179698, 13312}, - Package(){ 170868, 14336}, - Package(){ 162519, 15360}, - Package(){ 154622, 16384}, - Package(){ 147150, 17408}, - Package(){ 140079, 18432}, - Package(){ 133385, 19456}, - Package(){ 127046, 20480}, - Package(){ 121042, 21504}, - Package(){ 115352, 22528}, - Package(){ 109960, 23552}, - Package(){ 104848, 24576}, - Package(){ 100000, 25600}, - Package(){ 95402, 26624}, - Package(){ 91038, 27648}, - Package(){ 86897, 28672}, - Package(){ 82965, 29696}, - Package(){ 79232, 30720}, - Package(){ 75686, 31744}, - Package(){ 72316, 32768}, - Package(){ 69114, 33792}, - Package(){ 66070, 34816}, - Package(){ 63176, 35840}, - Package(){ 60423, 36864}, - Package(){ 57804, 37888}, - Package(){ 55312, 38912}, - Package(){ 52940, 39936}, - Package(){ 50681, 40960}, - Package(){ 48531, 41984}, - Package(){ 46482, 43008}, - Package(){ 44530, 44032}, - Package(){ 42670, 45056}, - Package(){ 40897, 46080}, - Package(){ 39207, 47104}, - Package(){ 37595, 48128}, - Package(){ 36057, 49152}, - Package(){ 34590, 50176}, - Package(){ 33190, 51200}, - Package(){ 31853, 52224}, - Package(){ 30577, 53248}, - Package(){ 29358, 54272}, - Package(){ 28194, 55296}, - Package(){ 27082, 56320}, - Package(){ 26020, 57344}, - Package(){ 25004, 58368}, - Package(){ 24033, 59392}, - Package(){ 23104, 60416}, - Package(){ 22216, 61440}, - Package(){ 21367, 62464}, - Package(){ 20554, 63488}, - Package(){ 19776, 64512}, - Package(){ 19031, 65536}, - Package(){ 18318, 66560}, - Package(){ 17636, 67584}, - Package(){ 16982, 68608}, - Package(){ 16355, 69632}, - Package(){ 15755, 70656}, - Package(){ 15180, 71680}, - Package(){ 14628, 72704}, - Package(){ 14099, 73728}, - Package(){ 13592, 74752}, - Package(){ 13106, 75776}, - Package(){ 12640, 76800}, - Package(){ 12192, 77824}, - Package(){ 11762, 78848}, - Package(){ 11350, 79872}, - Package(){ 10954, 80896}, - Package(){ 10574, 81920}, - Package(){ 10209, 82944}, - Package(){ 9858, 83968}, - Package(){ 9521, 84992}, - Package(){ 9197, 86016}, - Package(){ 8886, 87040}, - Package(){ 8587, 88064}, - Package(){ 8299, 89088}, - Package(){ 8023, 90112}, - Package(){ 7757, 91136}, - Package(){ 7501, 92160}, - Package(){ 7254, 93184}, - Package(){ 7017, 94208}, - Package(){ 6789, 95232}, - Package(){ 6570, 96256}, - Package(){ 6358, 97280}, - Package(){ 6155, 98304}, - Package(){ 5959, 99328}, - Package(){ 5770, 100352}, - Package(){ 5588, 101376}, - Package(){ 5412, 102400}, - Package(){ 5243, 103424}, - Package(){ 5080, 104448}, - Package(){ 4923, 105472}, - Package(){ 4771, 106496}, - Package(){ 4625, 107520}, - Package(){ 4484, 108544}, - Package(){ 4348, 109568}, - Package(){ 4217, 110592}, - Package(){ 4090, 111616}, - Package(){ 3968, 112640}, - Package(){ 3850, 113664}, - Package(){ 3736, 114688}, - Package(){ 3626, 115712}, - Package(){ 3519, 116736}, - Package(){ 3417, 117760}, - Package(){ 3317, 118784}, - Package(){ 3221, 119808}, - Package(){ 3129, 120832}, - Package(){ 3039, 121856}, - Package(){ 2952, 122880}, - Package(){ 2868, 123904}, - Package(){ 2787, 124928}, - Package(){ 2709, 125952}, - Package(){ 2633, 126976}, - Package(){ 2560, 128000}, - Package(){ 2489, 129024}, - Package(){ 2420, 130048} - }) - } - - /*---------------------------------------------------------------------------- - * Voltage ADC Threshold Monitor (VADCTM) Configuration - * -------------------------------------------------------------------------*/ - /* - * VADCTM Measurement Configuration Table - * - * The following is a list of periodic measurements that the VADCTM - * can periodically monitor. Thresholds for these measurements are set - * in software. - * - * sName: - * Appropriate string name for the channel from AdcInputs.h. - * - * uAdcHardwareChannel: - * AMUX channel. - * - * eSettlingDelay: - * Holdoff time to allow the voltage to settle before reading the channel. - * 0 - VADCTM_SETTLING_DELAY_0_US - * 1 - VADCTM_SETTLING_DELAY_100_US - * 2 - VADCTM_SETTLING_DELAY_200_US - * 3 - VADCTM_SETTLING_DELAY_300_US - * 4 - VADCTM_SETTLING_DELAY_400_US - * 5 - VADCTM_SETTLING_DELAY_500_US - * 6 - VADCTM_SETTLING_DELAY_600_US - * 7 - VADCTM_SETTLING_DELAY_700_US - * 8 - VADCTM_SETTLING_DELAY_800_US - * 9 - VADCTM_SETTLING_DELAY_900_US - * 10 - VADCTM_SETTLING_DELAY_1_MS - * 11 - VADCTM_SETTLING_DELAY_2_MS - * 12 - VADCTM_SETTLING_DELAY_4_MS - * 13 - VADCTM_SETTLING_DELAY_6_MS - * 14 - VADCTM_SETTLING_DELAY_8_MS - * 15 - VADCTM_SETTLING_DELAY_10_MS - * - * eMeasIntervalTimeSelect: - * The interval timer to use for the measurement period. - * 0 - VADCTM_MEAS_INTERVAL_TIME1 - * 1 - VADCTM_MEAS_INTERVAL_TIME2 - * 2 - VADCTM_MEAS_INTERVAL_TIME3 - * - * bAlwaysOn: - * Keep the measurement always sampling even if no thresholds are set. - * 0 - FALSE - * 1 - TRUE - * - * eCalMethod: - * Calibration method. - * 0 - VADC_CAL_METHOD_NO_CAL - * 1 - VADC_CAL_METHOD_RATIOMETRIC - * 2 - VADC_CAL_METHOD_ABSOLUTE - * - * scalingFactor.num: - * Numerator of the channel scaling - * - * scalingFactor.den: - * Denominator of the channel scaling - * - * eScalingMethod: - * The scaling method to use. - * 0 - VADC_SCALE_TO_MILLIVOLTS - * 1 - VADC_SCALE_INTERPOLATE_FROM_MILLIVOLTS (requires uInterpolationTableName) - * 2 - VADC_SCALE_THERMISTOR (requires uPullUp and uInterpolationTableName) - * - * uPullUp: - * The pull up resistor value. Use with eScalingMethod == VADC_SCALE_THERMISTOR, - * otherwise, 0. - * - * uInterpolationTableName: - * The name of the lookup table in ACPI that will be interpolated to obtain - * a physical value. Note that the physical value (which has default units - * of millivolts unless custom scaling function is used) is passed as the - * input. This value corresponds to the first column of the table. The - * scaled output appears in the physical adc result. - * 0 - No interpolation table - * WXYZ - Where 'WXYZ' is the interpolation table name - * - * uScalingFunctionName: - * The name of the function to call in the ACPI table to perform custom - * scaling. The input to the custom scaling function is defined by - * eScalingFunctionInput. The output of the custom scaling function is - * the physical value. - * 0 - No scaling function - * WXYZ - Where 'WXYZ' is the scaling function name - * - * Note: if both a custon scaling function & interpolation table are used - * the custom scaling function is called first. - * - * uInverseFunctionName: - * The name of the inverse scaling for uScalingFunctionName. - * 0 - No scaling function - * WXYZ - Where 'WXYZ' is the scaling function name - * - * eScalingFunctionInput: - * Defines which ADC result is passed to the custom scaling function. - * 0 - VADC_SCALING_FUNCTION_INPUT_PHYSICAL - * 1 - VADC_SCALING_FUNCTION_INPUT_PERCENT - * 2 - VADC_SCALING_FUNCTION_INPUT_MICROVOLTS - * 3 - VADC_SCALING_FUNCTION_INPUT_CODE - * - * nPhysicalMin: - * Minimum threshold value in physical units. - * - * nPhysicalMax: - * Maximum threshold value in physical units. - * - */ - Method (VTCH) - { - Return (Package() - { - /* VPH_PWR (VPH_PWR_SNS pin) */ - Package() - { - /* .sName = */ "VPH_PWR", - /* .uAdcHardwareChannel = */ 0x83, - /* .eSettlingDelay = */ 0, - /* .eMeasIntervalTimeSelect = */ 1, - /* .bAlwaysOn = */ 0, - /* .eCalMethod = */ 2, - /* .scalingFactor.num = */ 1, - /* .scalingFactor.den = */ 3, - /* .eScalingMethod = */ 0, - /* .uPullUp = */ 0, - /* .uInterpolationTableName = */ 0, - /* .uScalingFunctionName = */ 0, - /* .uInverseFunctionName = */ 0, - /* .eScalingFunctionInput = */ 0, - /* .nPhysicalMin = */ 0, - /* .nPhysicalMax = */ 5625, - }, - - /* PMIC_TEMP1 (internal sensor) */ - Package() - { - /* .sName = */ "PMIC_THERM", - /* .uAdcHardwareChannel = */ 0x6, - /* .eSettlingDelay = */ 0, - /* .eMeasIntervalTimeSelect = */ 0, - /* .bAlwaysOn = */ 0, - /* .eCalMethod = */ 2, - /* .scalingFactor.num = */ 1, - /* .scalingFactor.den = */ 1, - /* .eScalingMethod = */ 0, - /* .uPullUp = */ 0, - /* .uInterpolationTableName = */ 0, - /* .uScalingFunctionName = */ PTCF, - /* .uInverseFunctionName = */ PTCI, - /* .eScalingFunctionInput = */ 2, - /* .nPhysicalMin = */ 0xFFFF3CB0, // -50000 - /* .nPhysicalMax = */ 150000, - }, - - /* SYS_THERM1 (AMUX_1 pin) */ - Package() - { - /* .sName = */ "SYS_THERM1", - /* .uAdcHardwareChannel = */ 0x4d, - /* .eSettlingDelay = */ 1, - /* .eMeasIntervalTimeSelect = */ 0, - /* .bAlwaysOn = */ 0, - /* .eCalMethod = */ 1, - /* .scalingFactor.num = */ 1, - /* .scalingFactor.den = */ 1, - /* .eScalingMethod = */ 2, - /* .uPullUp = */ 100000, - /* .uInterpolationTableName = */ SYTB, - /* .uScalingFunctionName = */ 0, - /* .uInverseFunctionName = */ 0, - /* .eScalingFunctionInput = */ 0, - /* .nPhysicalMin = */ 0xFFFFFFD8, // -40 - /* .nPhysicalMax = */ 125, - }, - - /* SYS_THERM2 (AMUX_2 pin) */ - Package() - { - /* .sName = */ "SYS_THERM2", - /* .uAdcHardwareChannel = */ 0x4e, - /* .eSettlingDelay = */ 1, - /* .eMeasIntervalTimeSelect = */ 0, - /* .bAlwaysOn = */ 0, - /* .eCalMethod = */ 1, - /* .scalingFactor.num = */ 1, - /* .scalingFactor.den = */ 1, - /* .eScalingMethod = */ 2, - /* .uPullUp = */ 100000, - /* .uInterpolationTableName = */ SYTB, - /* .uScalingFunctionName = */ 0, - /* .uInverseFunctionName = */ 0, - /* .eScalingFunctionInput = */ 0, - /* .nPhysicalMin = */ 0xFFFFFFD8, // -40 - /* .nPhysicalMax = */ 125, - }, - - /* PA_THERM (AMUX_3 pin) */ - Package() - { - /* .sName = */ "PA_THERM", - /* .uAdcHardwareChannel = */ 0x4f, - /* .eSettlingDelay = */ 1, - /* .eMeasIntervalTimeSelect = */ 0, - /* .bAlwaysOn = */ 0, - /* .eCalMethod = */ 1, - /* .scalingFactor.num = */ 1, - /* .scalingFactor.den = */ 1, - /* .eScalingMethod = */ 2, - /* .uPullUp = */ 100000, - /* .uInterpolationTableName = */ SYTB, - /* .uScalingFunctionName = */ 0, - /* .uInverseFunctionName = */ 0, - /* .eScalingFunctionInput = */ 0, - /* .nPhysicalMin = */ 0xFFFFFFD8, // -40 - /* .nPhysicalMax = */ 125, - }, - - /* PA_THERM1 (AMUX_4 pin) */ - Package() - { - /* .sName = */ "PA_THERM1", - /* .uAdcHardwareChannel = */ 0x50, - /* .eSettlingDelay = */ 1, - /* .eMeasIntervalTimeSelect = */ 0, - /* .bAlwaysOn = */ 0, - /* .eCalMethod = */ 1, - /* .scalingFactor.num = */ 1, - /* .scalingFactor.den = */ 1, - /* .eScalingMethod = */ 2, - /* .uPullUp = */ 100000, - /* .uInterpolationTableName = */ SYTB, - /* .uScalingFunctionName = */ 0, - /* .uInverseFunctionName = */ 0, - /* .eScalingFunctionInput = */ 0, - /* .nPhysicalMin = */ 0xFFFFFFD8, // -40 - /* .nPhysicalMax = */ 125, - }, - - /* SYS_THERM3 (AMUX_5 pin) */ - Package() - { - /* .sName = */ "SYS_THERM3", - /* .uAdcHardwareChannel = */ 0x51, - /* .eSettlingDelay = */ 1, - /* .eMeasIntervalTimeSelect = */ 0, - /* .bAlwaysOn = */ 0, - /* .eCalMethod = */ 1, - /* .scalingFactor.num = */ 1, - /* .scalingFactor.den = */ 1, - /* .eScalingMethod = */ 2, - /* .uPullUp = */ 100000, - /* .uInterpolationTableName = */ SYTB, - /* .uScalingFunctionName = */ 0, - /* .uInverseFunctionName = */ 0, - /* .eScalingFunctionInput = */ 0, - /* .nPhysicalMin = */ 0xFFFFFFD8, // -40 - /* .nPhysicalMax = */ 125, - }, - }) - } - - /* - * General VADCTM measurement timer properties - * - * eMeasIntervalTime1: - * Interval timer 1 periodic value. - * 0 - VADCTM_MEAS_INTERVAL_TIME1_0_MS - * 1 - VADCTM_MEAS_INTERVAL_TIME1_1P0_MS - * 2 - VADCTM_MEAS_INTERVAL_TIME1_2P0_MS - * 3 - VADCTM_MEAS_INTERVAL_TIME1_3P9_MS - * 4 - VADCTM_MEAS_INTERVAL_TIME1_7P8_MS - * 5 - VADCTM_MEAS_INTERVAL_TIME1_15P6_MS - * 6 - VADCTM_MEAS_INTERVAL_TIME1_31P1_MS - * 7 - VADCTM_MEAS_INTERVAL_TIME1_62P5_MS - * 8 - VADCTM_MEAS_INTERVAL_TIME1_125_MS - * 9 - VADCTM_MEAS_INTERVAL_TIME1_250_MS - * 10 - VADCTM_MEAS_INTERVAL_TIME1_500_MS - * 11 - VADCTM_MEAS_INTERVAL_TIME1_1000_MS - * 12 - VADCTM_MEAS_INTERVAL_TIME1_2000_MS - * 13 - VADCTM_MEAS_INTERVAL_TIME1_4000_MS - * 14 - VADCTM_MEAS_INTERVAL_TIME1_8000_MS - * 15 - VADCTM_MEAS_INTERVAL_TIME1_16000_MS - * - * eMeasIntervalTime2: - * Interval timer 2 periodic value. - * 0 - VADCTM_MEAS_INTERVAL_TIME2_0_MS - * 1 - VADCTM_MEAS_INTERVAL_TIME2_100_MS - * 2 - VADCTM_MEAS_INTERVAL_TIME2_200_MS - * 3 - VADCTM_MEAS_INTERVAL_TIME2_300_MS - * 4 - VADCTM_MEAS_INTERVAL_TIME2_400_MS - * 5 - VADCTM_MEAS_INTERVAL_TIME2_500_MS - * 6 - VADCTM_MEAS_INTERVAL_TIME2_600_MS - * 7 - VADCTM_MEAS_INTERVAL_TIME2_700_MS - * 8 - VADCTM_MEAS_INTERVAL_TIME2_800_MS - * 9 - VADCTM_MEAS_INTERVAL_TIME2_900_MS - * 10 - VADCTM_MEAS_INTERVAL_TIME2_1000_MS - * 11 - VADCTM_MEAS_INTERVAL_TIME2_1100_MS - * 12 - VADCTM_MEAS_INTERVAL_TIME2_1200_MS - * 13 - VADCTM_MEAS_INTERVAL_TIME2_1300_MS - * 14 - VADCTM_MEAS_INTERVAL_TIME2_1400_MS - * 15 - VADCTM_MEAS_INTERVAL_TIME2_1500_MS - * - * eMeasIntervalTime3: - * Interval timer 3 periodic value. - * 0 - VADCTM_MEAS_INTERVAL_TIME3_0_S - * 1 - VADCTM_MEAS_INTERVAL_TIME3_1_S - * 2 - VADCTM_MEAS_INTERVAL_TIME3_2_S - * 3 - VADCTM_MEAS_INTERVAL_TIME3_3_S - * 4 - VADCTM_MEAS_INTERVAL_TIME3_4_S - * 5 - VADCTM_MEAS_INTERVAL_TIME3_5_S - * 6 - VADCTM_MEAS_INTERVAL_TIME3_6_S - * 7 - VADCTM_MEAS_INTERVAL_TIME3_7_S - * 8 - VADCTM_MEAS_INTERVAL_TIME3_8_S - * 9 - VADCTM_MEAS_INTERVAL_TIME3_9_S - * 10 - VADCTM_MEAS_INTERVAL_TIME3_10_S - * 11 - VADCTM_MEAS_INTERVAL_TIME3_11_S - * 12 - VADCTM_MEAS_INTERVAL_TIME3_12_S - * 13 - VADCTM_MEAS_INTERVAL_TIME3_13_S - * 14 - VADCTM_MEAS_INTERVAL_TIME3_14_S - * 15 - VADCTM_MEAS_INTERVAL_TIME3_15_S - * - */ - Method (VTMT) - { - Return (Package() - { - /* .eMeasIntervalTime1 = */ 11, // 1000 ms - /* .eMeasIntervalTime2 = */ 1, // 100 ms - /* .eMeasIntervalTime3 = */ 5, // 5000 ms - }) - } -} diff --git a/legacy/beryllium/cust_pmic_batt.asl b/legacy/beryllium/cust_pmic_batt.asl deleted file mode 100644 index 26b21ed..0000000 --- a/legacy/beryllium/cust_pmic_batt.asl +++ /dev/null @@ -1,50 +0,0 @@ -// This file contains the Power Management IC (PMIC) -// customer-modifiable ACPI configurations. -// - -//****************************************** -//Configs for Battery Manager Device: PMBT -//****************************************** -//-------------------- -//PMBT: Method(BBAT) -//-------------------- -Name(BFCC, 12350) //* (mWh), Full Charge Capacity -Name(PCT1, 5) //* (% of FCC), Default Alert 1 -Name(PCT2, 9) //* (% of FCC), Default Alert 2 - -//-------------------- -//PMBT: Method(BMNR) -//-------------------- -Name(CUST, "850_MTP") //* cust file identifier - -//-------------------- -//PMBT: Method(BPLT) -//-------------------- -Name(VNOM, 3800) //* (mV), Nominal Battery Voltage -Name(VLOW, 3300) //* (mV), Low Battery Voltage -Name(EMPT, 3200) //* (mV), VCutOff -Name(DCMA, 900) //* (mA), DC Current -Name(BOCP, 4500) //* (mA), OCP current used in BCL -Name(BVLO, 3000) //* (mV), BCL low Vbatt -Name(BLOP, 20) //* (%), BCL Low batt percent notification -Name(BNOP, 22) //* (%), BCL normal batt percent notification -Name(IFGD, 50) //* (mA), FG Iterm delta; (iterm + this value) determines when FG report 100% -Name(VFGD, 50) //* (mV), CC to CV Vdelta; (Vfloat - this value) determine when FG report 100% - -//-------------------------------- -//PMBT: Method(BJTA)/Method(BAT1) -//-------------------------------- -Name(VDD1, 4350) //* (mV), Battery-1: Float Voltage (Standard Zone) -Name(FCC1, 2100) //* (mA), Battery-1: Full Charge Current (Standard Zone) -Name(HCLI, 0) //* (degree C), hard-cold temperature limit -Name(SCLI, 10) //* (degree C), soft-cold temperature limit -Name(SHLI, 45) //* (degree C), soft-hot temperature limit -Name(HHLI, 55) //* (degree C), hard-hot temperature limit -Name(FVC1, 105) //* (mV), Float voltage compensation, when battery in JEITA soft-limit -Name(CCC1, 1000) //* (mA), Charge current compensation, when battery in JEITA soft-limit - -//-------------------- -//PMBT: Method(CTMC) -//-------------------- -Name(RID2, 15000) //* (Ohm), min RID for NORMAL category: 15K -Name(RID3, 140000) //* (Ohm), max RID for NORMAL category: 140K diff --git a/legacy/beryllium/cust_thermal_zones.asl b/legacy/beryllium/cust_thermal_zones.asl deleted file mode 100644 index f4b9f45..0000000 --- a/legacy/beryllium/cust_thermal_zones.asl +++ /dev/null @@ -1,570 +0,0 @@ -// - //CPU Aggregator Device -- Required for Thermal Parking - Device(AGR0) - { - Name(_HID, "ACPI000C") - Name(_PUR, Package() {1, 0}) - Method(_OST, 0x3, NotSerialized) - { - Store(Arg2, \_SB_.PEP0.ROST) - } - } - - //--------------------------------------------------------------------- - // - // Thermal Zones for QC reference hardware - // - //TZ0 - TZ39 are thermal zones developed by QC for reference hardware - //and can be modified by the OEMs. - //--------------------------------------------------------------------- - - //--------------------------------------------------------------------- - // Thermal Zones(0-19) for CPU sensors - //24AD - Little CPU virtual sensor - //24AE - Big CPU virtual sensor - // This thermal zone is only used for temperature logging for little CPUs - // as you may notice that _PSV, _TC1, _TC2, _TSP params are removed. - // This is the passive cooling mechanism by dialing down frequency is now - // done actively by hardware. - //--------------------------------------------------------------------- - ThermalZone (TZ0) { - Name (_HID, "QCOM02B0") - Name (_UID, 0) - Name(_TZD, Package (){\_SB.SYSM.CLUS.CPU0, \_SB.SYSM.CLUS.CPU1, \_SB.SYSM.CLUS.CPU2, \_SB.SYSM.CLUS.CPU3}) - Method(_DEP) { - Return (Package() {\_SB.PEP0}) - } - } // end of TZ0 - - //Regular Thermal Zone for Little CPU TSENS to Park cores at 110C - ThermalZone (TZ1) { - Name (_HID, "QCOM02B0") - Name (_UID, 1) - Name(_TZD, Package (){\_SB.PEP0}) - Name(TPSV, 3830) - Method(_PSV) { Return (\_SB.TZ1.TPSV) } - Name(_MTL, 20) // minimum throttle limit - //Control how aggressively the thermal manager applies thermal - //throttling performance against temperature change. - Name(TTC1, 0) - Method(_TC1) { Return (\_SB.TZ1.TTC1) } - - // _TC2 Controls how aggressively the thermal manager applies thermal - // throttling performance against temperature delta between the - // current temperature and _PSV. - // once the temp goes above _PSV, we like to have aggressive - // throttling based on how far above the temp is above the threshold. - // Since that is controlled via _TC2, we like it to be high. - // please refer to the ACPI spec 6.0 to understand the significance of - // _TC2 or take a look at the explanation at the top of this file. - Name(TTC2, 1) - Method(_TC2) { Return (\_SB.TZ1.TTC2) } - - // Appropriate temperature sampling interval for the zone in tenths - // of a second. The thermal manager uses this interval to determine - // how often it should evaluate the thermal throttling performance. - // Must be greater than zero. For more information, see Thermal - // throttling algorithm on msdn page - // https://msdn.microsoft.com/en-us/library/windows/hardware/mt643928(v=vs.85).aspx - Name(TTSP, 50) - Method(_TSP) { Return (\_SB.TZ1.TTSP) } - - // This optional object evaluates to a recommended polling frequency - // (in tenths of seconds) for this thermal zone. A value of zero indicates - // that OSPM does not need to poll the temperature of this thermal zone in - // order to detect temperature changes (the hardware is capable of - // generating asynchronous notifications). - // TZP should be marked 0 for all thermal zones as our TSENS sensors - // generate interrupts to complete thermal IOCTL read call. - Name(_TZP, 0) - - Method(_DEP) { - Return (Package() {\_SB.PEP0}) - } - } // end of TZ1 - - // This thermal zone is only used for temperature logging for Big CPUs - // as you may notice that _PSV, _TC1, _TC2, _TSP params are removed. - // This is the passive cooling mechanism by dialing down frequency is now - // done actively by hardware. - ThermalZone (TZ2) { - Name (_HID, "QCOM02B1") - Name (_UID, 0) - Name(_TZD, Package (){\_SB.SYSM.CLUS.CPU4, \_SB.SYSM.CLUS.CPU5, \_SB.SYSM.CLUS.CPU6, \_SB.SYSM.CLUS.CPU7}) - Method(_DEP) { - Return (Package() {\_SB.PEP0}) - } - } // end of TZ2 - - //Regular Thermal Zone for BigCPU TSENS to Park cores at 110C - ThermalZone (TZ3) { - Name (_HID, "QCOM02B1") - Name (_UID, 1) - Name(_TZD, Package (){\_SB.PEP0}) - - Name(TPSV, 3830) - Method(_PSV) { Return (\_SB.TZ3.TPSV) } - Name(TTC1, 0) - Method(_TC1) { Return (\_SB.TZ3.TTC1) } - Name(TTC2, 1) - Method(_TC2) { Return (\_SB.TZ3.TTC2) } - Name(TTSP, 1) - Method(_TSP) { Return (\_SB.TZ3.TTSP) } - Name(_MTL, 20) // minimum throttle limit - Name(_TZP, 0) - - Method(_DEP) { - Return (Package() {\_SB.PEP0}) - } - } // end of TZ3 - - //--------------------------------------------------------------------- - // Thermal Zones(20-21) for GPU TSENS - // - // \_SB.GPU0 should be used for GPU thermal mitigation, and - // \_SB.GPU0.AVS0 should be used for MDSS/Video thermal mitigation. - // Currently there is no handling for Video thermal mitigation. - // When needed, Video will be added to GPU0.AVS0 interface. - //--------------------------------------------------------------------- - //Thermal zone for TSENS11 dial back GPUs at 95C - ThermalZone (TZ20) { - Name (_HID, "QCOM02AB") - Name (_UID, 0) - Name(_TZD, Package (){\_SB.GPU0}) - Name(TPSV, 3680) - Method(_PSV) { Return (\_SB.TZ20.TPSV) } - Name(TTC1, 1) - Method(_TC1) { Return (\_SB.TZ20.TTC1) } - // For non-cpu devices, tc2 should be atleast 5, please refer to the - // explanation at the top of the file or msdn link for thermal guide. - Name(TTC2, 2) - Method(_TC2) { Return (\_SB.TZ20.TTC2) } - // For non-cpu devices, _tsp should be 20 or 30 - Name(TTSP, 2) - Method(_TSP) { Return (\_SB.TZ20.TTSP) } - Name(_TZP, 0) - Method(_DEP) { - Return (Package() {\_SB.PEP0}) - } - } // end of TZ20 - - //Thermal zone for TSENS12 to dial back GPUs at 95C - ThermalZone (TZ21) { - Name (_HID, "QCOM02AC") - Name (_UID, 0) - Name(_TZD, Package (){\_SB.GPU0}) - Name(TPSV, 3680) - Method(_PSV) { Return (\_SB.TZ21.TPSV) } - Name(TTC1, 1) - Method(_TC1) { Return (\_SB.TZ21.TTC1) } - Name(TTC2, 2) - Method(_TC2) { Return (\_SB.TZ21.TTC2) } - Name(TTSP, 2) - Method(_TSP) { Return (\_SB.TZ21.TTSP) } - Name(_TZP, 0) - Method(_DEP) { - Return (Package() {\_SB.PEP0}) - } - } // end of TZ21 - - //--------------------------------------------------------------------- - // Thermal Zones for QDSP TSENS - //4/16/15: TODO waiting to get a new HID assigned for TSENS17 - //--------------------------------------------------------------------- - //Thermall zone for TSENS14 dial back MSM at 95C - //ThermalZone (TZ31) { - //Name (_HID, "QCOM02AE") - //Name (_UID, 0) - //Name(_TZD, Package (){ - //\_SB.SYSM.CLUS.CPU0, \_SB.SYSM.CLUS.CPU1, \_SB.SYSM.CLUS.CPU2, \_SB.SYSM.CLUS.CPU3, - //\_SB.PEP0, \_SB.GPU0.MON0, \_SB.GPU0}) - //Method(_PSV) { Return (3680) } - //Name(_TC1, 1) - //Name(_TC2, 2) - //Name(_TSP, 10) - //Name(_TZP, 0) - //Method(_DEP) { - // Return (Package() {\_SB.PEP0}) - //} - //} // end of TZ31 - - //--------------------------------------------------------------------- - // Thermal Zones for Camera TSENS - //--------------------------------------------------------------------- - //Thermal zone for TSENS17 to dial back MSM at 95C - // ThermalZone (TZ32) { - // Name (_HID, "QCOM02C9") - // Name (_UID, 0) - // Name(_TZD, Package (){\_SB.GPU0.AVS0}) - // Name(TPSV, 3680) - // Method(_PSV) { Return (\_SB.TZ32.TPSV) } - // Name(TTC1, 1) - // Method(_TC1) { Return (\_SB.TZ32.TTC1) } - // // For non-cpu devices, tc2 should be atleast 5, please refer to the - // // explanation at the top of the file or msdn link for thermal guide. - // Name(TTC2, 2) - // Method(_TC2) { Return (\_SB.TZ32.TTC2) } - // // For non-cpu devices, _tsp should be 20 or 30 - // Name(TTSP, 10) - // Method(_TSP) { Return (\_SB.TZ32.TTSP) } - // Name(_TZP, 0) - // Method(_DEP) { - // Return (Package() {\_SB.PEP0}) - // } - // } // end of TZ32 - - ThermalZone (TZ33) { - Name (_HID, "QCOM02CB") - Name (_UID, 1) - Name(_TZD, Package (){\_SB.AMSS}) - - Name(TPSV, 3680) - Method(_PSV) { Return (\_SB.TZ33.TPSV) } - - Name(TTC1, 1) - Method(_TC1) { Return (\_SB.TZ33.TTC1) } - - Name(TTC2, 2) - Method(_TC2) { Return (\_SB.TZ33.TTC2) } - - Name(TTSP, 10) - Method(_TSP) { Return (\_SB.TZ33.TTSP) } - - Name(_TZP, 0) - Method(_DEP) { - Return (Package() {\_SB.PEP0}) - } - } - - //--------------------------------------------------------------------- - // Thermal Zones for MDSS TENS (Display Subsystem) - // Only the MDP Blt engine and Rotator engines on the MDSS are cooled - // using this interface. Display cooling is not supported currently. - //--------------------------------------------------------------------- - //Thermal zone for TSENS18 to dial back MSM at 95C - //ThermalZone (TZ34) { - //Name (_HID, "QCOM02CA") - //Name (_UID, 0) - //Name(_TZD, Package (){\_SB.GPU0.AVS0}) - //Method(_PSV) { Return (3680) } - //Name(_TC1, 1) - //Name(_TC2, 2) - //Name(_TSP, 10) - //Name(_TZP, 0) - //Method(_DEP) { - // Return (Package() {\_SB.PEP0}) - //} - //} // end of TZ34 - - //--------------------------------------------------------------------- - // Thermal Zones for ADC Channels - //--------------------------------------------------------------------- - //Thermal zone for PMIC_THERM - ThermalZone (TZ36) { - Name (_HID, "QCOM029E") - Name (_UID, 0) - Name(_TZD, Package (){ - \_SB.SYSM.CLUS.CPU0, \_SB.SYSM.CLUS.CPU1, \_SB.SYSM.CLUS.CPU2, \_SB.SYSM.CLUS.CPU3, - \_SB.SYSM.CLUS.CPU4, \_SB.SYSM.CLUS.CPU5, \_SB.SYSM.CLUS.CPU6, \_SB.SYSM.CLUS.CPU7, - \_SB.PMBM}) - - Name(TPSV, 3780) - Method(_PSV) { Return (\_SB.TZ36.TPSV) } - - Name(TTC1, 4) - Method(_TC1) { Return (\_SB.TZ36.TTC1) } - - Name(TTC2, 3) - Method(_TC2) { Return (\_SB.TZ36.TTC2) } - - Name(TTSP, 50) - Method(_TSP) { Return (\_SB.TZ36.TTSP) } - - Name(_TZP, 0) - Method(_DEP) { - Return (Package() {\_SB.PEP0, \_SB.ADC1}) - } - } // end of TZ36 - - //Thermal zone for PMIC_THERM - ThermalZone (TZ37) { - Name (_HID, "QCOM029E") - Name (_UID, 1) - Name(_TZD, Package (){ - \_SB.PEP0, \_SB.PMBM}) - Name(TPSV, 3980) - Method(_PSV) { Return (\_SB.TZ37.TPSV) } - Name(TCRT, 4180) - Method(_CRT) { Return (\_SB.TZ37.TCRT) } - Name(TTC1, 4) - Method(_TC1) { Return (\_SB.TZ37.TTC1) } - Name(TTC2, 3) - Method(_TC2) { Return (\_SB.TZ37.TTC2) } - Name(TTSP, 50) - Method(_TSP) { Return (\_SB.TZ37.TTSP) } - - Name(_TZP, 0) - Method(_DEP) { - Return (Package() {\_SB.PEP0, \_SB.ADC1}) - } - } // end of TZ37 - - //Example: Inverse Thermal zone for PMIC_THERM - ThermalZone (TZ38) { - Name (_HID, "QCOM029E") - Name (_UID, 2) //Update UID on addition of new thermal zone with same HID - Name(_TZD, Package (){ - \_SB.PEP0}) - Method(INVT) { Return (1) } - Method(_MTL) { Return (60) } - Name(TPSV, 2830) - Method(_PSV) { Return (\_SB.TZ38.TPSV) } - Name(TTC1, 4) - Method(_TC1) { Return (\_SB.TZ38.TTC1) } - Name(TTC2, 3) - Method(_TC2) { Return (\_SB.TZ38.TTC2) } - Name(TTSP, 10) - Method(_TSP) { Return (\_SB.TZ38.TTSP) } - Name(_TZP, 0) - Method(_DEP) { - Return (Package() {\_SB.PEP0, \_SB.ADC1}) - } - } // end of TZ38 - - //------------------------------------------------------------------------ - // Thermal Zones for Wlan - //------------------------------------------------------------------------ - //Thermal zone for iHelium, Wlan MAC&PHY on SOC - ThermalZone (TZ40) { - Name (_HID, "QCOM02AF") - Name (_UID, 0) - Name(_TZD, Package (){\_SB.COEX}) - - Name(TPSV, 3580) - Method(_PSV) { Return (\_SB.TZ40.TPSV) } - Name(TTC1, 1) - Method(_TC1) { Return (\_SB.TZ40.TTC1) } - Name(TTC2, 5) // For non-cpu devices, tc2 should be atleast 5 - Method(_TC2) { Return (\_SB.TZ40.TTC2) } - Name(TTSP, 30) // For non-cpu devices, _tsp should be 20 or 30 - Method(_TSP) { Return (\_SB.TZ40.TTSP) } - - Name(_TZP, 0) - Method(_DEP) { - Return (Package() {\_SB.PEP0}) - } - } // end of TZ40 - //Thermal zone for Cherokee, Wlan radio on WCN3990 - ThermalZone (TZ41) { - Name (_HID, "QCOM0295")//virtual sensor by wlan WMI thermal interface - Name (_UID, 1) - //Name(_TZD, Package (){\_SB.COEX}) // Temperature report only - //Method(_PSV) { Return (4030) } - //Name(_TC1, 4) - //Name(_TC2, 3) - Name(_TSP, 50) - Name(_TZP, 0) - } // end of TZ41 - - //------------------------------------------------------------------------ - // Thermal Zones for DDR/POP - //------------------------------------------------------------------------ - //Thermal zone for DDR - //Thermal zone for TSENS20 to dial back Big CPU's at 95C - - ThermalZone (TZ44) { - Name (_HID, "QCOM02CC") - Name (_UID, 0) - Name(_TZD, Package (){\_SB.SYSM.CLUS.CPU4, \_SB.SYSM.CLUS.CPU5, \_SB.SYSM.CLUS.CPU6, \_SB.SYSM.CLUS.CPU7}) - Name(TPSV, 3680) - Method(_PSV) { Return (\_SB.TZ44.TPSV) } - Name(TTC1, 0) - Method(_TC1) { Return (\_SB.TZ44.TTC1) } - Name(TTC2, 1) - Method(_TC2) { Return (\_SB.TZ44.TTC2) } - Name(TTSP, 1) - Method(_TSP) { Return (\_SB.TZ44.TTSP) } - Name(_TZP, 0) - Method(_DEP) { - Return (Package() {\_SB.PEP0}) - } - } // end of TZ44 - - //--------------------------------------------------------------------- - // - // QC Recommended thermal limits starts - // - //TZ80 - TZ98 represent the thermal zones corresponding to QC - //recommended thermal limits. These thermal zones must not be removed - //or tampered with. - //--------------------------------------------------------------------- - //Thermal zone for TSENS2 at 70C to match the LA thermal limits - //ThermalZone (TZ80) { - //Name (_HID, "QCOM2472") - //Name (_UID, 0) - //Name(_TZD, Package (){ - // \_SB.SYSM.CLUS.CPU0, \_SB.SYSM.CLUS.CPU1, \_SB.SYSM.CLUS.CPU2, \_SB.SYSM.CLUS.CPU3, - //Method(_PSV) { Return (3430) } - //Name(_TC1, 1) - //Name(_TC2, 2) - //Name(_TSP, 10) - //Name(_TZP, 0) - //Method(_DEP) { - // Return (Package() {\_SB.PEP0}) - //} - //} // end of TZ80 - - //Thermal zone near for TSENS2 to shutdown the system at 85C to match LA - //thermal limits - //ThermalZone (TZ81) { - //Name (_HID, "QCOM2472") - //Name (_UID, 1) - //Name(_TZD, Package (){ - // \_SB.SYSM.CLUS.CPU0, \_SB.SYSM.CLUS.CPU1, \_SB.SYSM.CLUS.CPU2, \_SB.SYSM.CLUS.CPU3, - // \_SB.PEP0}) - //Method(_PSV) { Return (3530) } - //Method(_CRT) { Return (3580) } - //Name(_TC1, 1) - //Name(_TC2, 2) - //Name(_TSP, 10) - //Name(_TZP, 0) - //Method(_DEP) { - // Return (Package() {\_SB.PEP0}) - //} - //} // end of TZ81 - - //Entry for BCL thermal zone - ThermalZone (TZ98) { - Name (_HID, "QCOM0294") - Name (_UID, 0) - Name(_TZD, Package (){ - \_SB.GPU0.MON0, \_SB.GPU0}) - - Name(TPSV, 3630) - Method(_PSV) { Return (\_SB.TZ98.TPSV) } - Name(TTC1, 1) - Method(_TC1) { Return (\_SB.TZ98.TTC1) } - //Method(_CRT) { Return (5630) } - Name(TTC2, 5) - Method(_TC2) { Return (\_SB.TZ98.TTC2) } - Name(TTSP, 20) - Method(_TSP) { Return (\_SB.TZ98.TTSP) } - - Name(_TZP, 0) - Method(_DEP) { - Return (Package(0x2) {\_SB.PEP0,\_SB_.BCL1}) - } - } // end of TZ98 - - //--------------------------------------------------------------------- - // Critical Thermal Zones for ALL TSENS - //This sensor aggregates all the on chip TSENS into a single sensor - //for ACPI thermal manager. By having a critical thermal zone on this - //"virtual sensor" we don't have to add a critical thermal zone on every - //sensor and hence reduce the number of thermal zones. - //--------------------------------------------------------------------- - //Critical Thermal zone on MSM virtual sensor to shutdown entire system - //at 110C. - ThermalZone (TZ99) { - Name (_HID, "QCOM02B2") - Name (_UID, 100) - - Name(TCRT, 3830) - Method(_CRT) { Return (\_SB.TZ99.TCRT) } - Name(TTC1, 4) - Method(_TC1) { Return (\_SB.TZ99.TTC1) } - Name(TTC2, 3) - Method(_TC2) { Return (\_SB.TZ99.TTC2) } - Name(TTSP, 10) - Method(_TSP) { Return (\_SB.TZ99.TTSP) } - Name(_TZP, 0) - - Method(_DEP) { - Return (Package() {\_SB.PEP0}) - } - } // end of TZ99 - - //--------------------------------------------------------------------- - // QC Recommended thermal limits ends - //--------------------------------------------------------------------- - - //--------------------------------------------------------------------- - // - // Sample Thermal Zones for OEMs TZ40 - TZ79 - // - //Sample TSENS thermal zone that can be added on any TSENS - //--------------------------------------------------------------------- - //ThermalZone (TZ40) { - //Name (_HID, "QCOM2470") - //Name (_UID, 0) - //Name(_TZD, Package (){ - //\_SB.SYSM.CLUS.CPU0, \_SB.SYSM.CLUS.CPU1, \_SB.SYSM.CLUS.CPU2, \_SB.SYSM.CLUS.CPU3,\_SB.SYSM.CLUS.CPU4, \_SB.SYSM.CLUS.CPU5, - //\_SB.PEP0, }) - //Method(_PSV) { Return (3730) } - //Method(_CRT) { Return (3780) } - //Name(_TC1, 1) - //Name(_TC2, 2) - //Name(_TSP, 10) //Sampling rate of 1sec - //Name(_TZP, 0) - //Method(_DEP) { - // Return (Package() {\_SB.PEP0}) - //} - //} // end of TZ40 - - //ThermalZone (TZ41) { - //Name (_HID, "QCOM2470") - //Name (_UID, 0) - //Name(_TZD, Package (){ - //\_SB.SYSM.CLUS.CPU0, \_SB.SYSM.CLUS.CPU1, \_SB.SYSM.CLUS.CPU2, \_SB.SYSM.CLUS.CPU3,\_SB.SYSM.CLUS.CPU4, \_SB.SYSM.CLUS.CPU5, - //\_SB.PEP0, }) - //Method(_PSV) { Return (3730) } - //Method(_CRT) { Return (3780) } - //Name(_TC1, 1) - //Name(_TC2, 2) - //Name(_TSP, 50) //Sampling rate of 5sec - //Name(_TZP, 0) - //Method(_DEP) { - // Return (Package() {\_SB.PEP0}) - //} - //} // end of TZ41 - - - //--------------------------------------------------------------------------// - // - // Sample VADC Thermal zones for OEMs - // - //Following are sample thermal zones that use the off chip ADC thermistors - //they are all currently using CPUs as a cooling device for a lack of better - //option. The OEMs should change this. - //--------------------------------------------------------------------------// - - //Thermal zone for SYS_THERM2 - // ThermalZone (TZ51) { - // Name (_HID, "QCOM248D") - // Name (_UID, 0) - // Name(_TZD, Package (){ - //\_SB.SYSM.CLUS.CPU0, \_SB.SYSM.CLUS.CPU1, \_SB.SYSM.CLUS.CPU2, \_SB.SYSM.CLUS.CPU3,\_SB.SYSM.CLUS.CPU4, \_SB.SYSM.CLUS.CPU5,}) - // Method(_PSV) { Return (3830) } - //Name(_TC1, 4) - //Name(_TC2, 3) - // Name(_TSP, 50) - //Name(_TZP, 0) - //Method(_DEP) { - // Return (Package() {\_SB.PEP0}) - //} - // } // end of TZ51 - - //Thermal zone for PA_THERM1 - // ThermalZone (TZ52) { - // Name (_HID, "QCOM248E") - // Name (_UID, 0) - // Name(_TZD, Package (){\_SB.SYSM.CLUS.CPU0, \_SB.SYSM.CLUS.CPU1, \_SB.SYSM.CLUS.CPU2, \_SB.SYSM.CLUS.CPU3}) - // Method(_PSV) { Return (3430) } - // Name(_TC1, 4) - // Name(_TC2, 3) - // Name(_TSP, 50) - // Name(_TZP, 0) - //Method(_DEP) { - // Return (Package() {\_SB.PEP0}) - //} - // } // end of TZ52 diff --git a/legacy/beryllium/cust_touch_resources.asl b/legacy/beryllium/cust_touch_resources.asl deleted file mode 100644 index 1a23c01..0000000 --- a/legacy/beryllium/cust_touch_resources.asl +++ /dev/null @@ -1,368 +0,0 @@ -//=========================================================================== -// -// DESCRIPTION -// This file contains the resources needed by touch driver. -// -// -//=========================================================================== -Scope(\_SB_.PEP0) -{ - - Method(LPMX) - { - Return(LPXC) - } - - Name(LPXC, - Package(){ - // Touch LVS1 - Package() - { - "DEVICE", - "\\_SB.TSC1", - Package() - { - "DSTATE", - 0x0, // D0 state - - // TS_INT configuration - Package() - { - "TLMMGPIO", // TLMMGPIO resource TS_INT - Package() - { - 31, // PIN number = 31 - 0, // State: NOT active = 0x0 - 0, // Function select = 0 - 0, // direction = Input. - 3, // Pull Up - 0, // Drive Strength: 0x0 = 2mA - }, - }, - Package() - { - "TLMMGPIO", // TLMMGPIO resource RESET - Package() - { - 32, // PIN number = 32 - 0, // State: NOT active = 0x0 - 0, // Function select = 0 - 1, // direction = Output. - 0, // NO Pull - 0, // Drive Strength: 0x0 = 2mA - }, - }, - - // Synaptics Power source - VDD - Package() - { - "PMICVREGVOTE", // PMICVREGVOTE resource - Package() - { - "PPP_RESOURCE_ID_LDO14_A", // VREG ID - 1, // Voltage Regulator type = LDO - 1800000, // 3.3V - 1, // force enable from software - 7, // power mode - Normal Power Mode - 0, // head room voltage - }, - }, - - // I2C Pull Up source - // Package() - // { - // "TLMMGPIO", - // Package() - // { - // 23, // PIN number = 23 - // 1, // State: active = 0x1 - // 0, // Function select = 0 - // 1, // direction = Output. - // 3, // Pull Up - // 0, // Drive Strength: 0x0 = 2mA - // }, - // }, - - Package() - { - "DELAY", // Hold the RESET line LOW after power up for 2ms - Package() - { - 1000, // 2 Milsec delay - } - }, - - // Drive RESET Line High - Package() - { - "TLMMGPIO", // TLMMGPIO resource TS_RESET - Package() - { - 32, // PIN number = 32 - 1, // State: active = 0x1 - 0, // Function select = 0 - 1, // direction = O/P - 0, // Pull Up - 0, // Drive Strength: 0x0 = 2mA - }, - }, - - // Synaptics may need needs ~200 ms to be ready for comm - Package() - { - "DELAY", - Package() - { - 20000, // 200 Milsec delay - } - }, - }, - Package() - { - "DSTATE", - 0x3, // D3 state - - // Synaptics Power source - VDD - Package() - { - "PMICVREGVOTE", // PMICVREGVOTE resource - Package() - { - "PPP_RESOURCE_ID_LDO14_A", // VREG ID - 1, // Voltage Regulator type = LDO - 0, // Voltage is in micro volts - 0, // Force disable from s/w - 5, // power mode - Low Power Mode - 0, // head room voltage - }, - }, - - // I2C Pull Up source - // Package() - // { - // "TLMMGPIO", - // Package() - // { - // 23, // PIN number = 23 - // 0, // State: IN active = 0x0 - // 0, // Function select = 0 - // 1, // direction = Output. - // 1, // Pull Down - // 0, // Drive Strength: 0x0 = 2mA - // }, - // }, - - // RESET pin - power save mode - Package() - { - "TLMMGPIO", // TLMMGPIO resource RESET - Package() - { - 32, // PIN number = 32 - 0, // State: IN active = 0x0 - 0, // Function select = 0 - 0, // direction = Output. - 1, // Pull Down - 0, // Drive Strength: 0x0 = 2mA - }, - }, - - // TS_INT configuration - power save mode - Package() - { - "TLMMGPIO", // TLMMGPIO resource TS_INT - Package() - { - 31, // PIN number = 31 - 0, // State: IN active = 0x0 - 0, // Function select = 0 - 0, // direction = Input. - 1, // Pull Down - 0, // Drive Strength: 0x0 = 2mA - }, - }, - }, - }, - }) -} - -Scope(\_SB_.PEP0) -{ - - Method(LPMZ) - { - Return(LPXD) - } - - Name(LPXD, - Package(){ - // Touch LVS1 - Package() - { - "DEVICE", - "\\_SB.TSC2", - Package() - { - "DSTATE", - 0x0, // D0 state - - // TS_INT configuration - Package() - { - "TLMMGPIO", // TLMMGPIO resource TS_INT - Package() - { - 31, // PIN number = 31 - 0, // State: NOT active = 0x0 - 0, // Function select = 0 - 0, // direction = Input. - 3, // Pull Up - 0, // Drive Strength: 0x0 = 2mA - }, - }, - Package() - { - "TLMMGPIO", // TLMMGPIO resource RESET - Package() - { - 32, // PIN number = 32 - 0, // State: NOT active = 0x0 - 0, // Function select = 0 - 1, // direction = Output. - 0, // NO Pull - 0, // Drive Strength: 0x0 = 2mA - }, - }, - - // Synaptics Power source - VDD - Package() - { - "PMICVREGVOTE", // PMICVREGVOTE resource - Package() - { - "PPP_RESOURCE_ID_LDO14_A", // VREG ID - 1, // Voltage Regulator type = LDO - 1800000, // 3.3V - 1, // force enable from software - 7, // power mode - Normal Power Mode - 0, // head room voltage - }, - }, - - // I2C Pull Up source - // Package() - // { - // "TLMMGPIO", - // Package() - // { - // 23, // PIN number = 23 - // 1, // State: active = 0x1 - // 0, // Function select = 0 - // 1, // direction = Output. - // 3, // Pull Up - // 0, // Drive Strength: 0x0 = 2mA - // }, - // }, - - Package() - { - "DELAY", // Hold the RESET line LOW after power up for 2ms - Package() - { - 1000, // 2 Milsec delay - } - }, - - // Drive RESET Line High - Package() - { - "TLMMGPIO", // TLMMGPIO resource TS_RESET - Package() - { - 32, // PIN number = 32 - 1, // State: active = 0x1 - 0, // Function select = 0 - 1, // direction = O/P - 0, // Pull Up - 0, // Drive Strength: 0x0 = 2mA - }, - }, - - // Synaptics may need needs ~200 ms to be ready for comm - Package() - { - "DELAY", - Package() - { - 20000, // 200 Milsec delay - } - }, - }, - Package() - { - "DSTATE", - 0x3, // D3 state - - // Synaptics Power source - VDD - Package() - { - "PMICVREGVOTE", // PMICVREGVOTE resource - Package() - { - "PPP_RESOURCE_ID_LDO14_A", // VREG ID - 1, // Voltage Regulator type = LDO - 0, // Voltage is in micro volts - 0, // Force disable from s/w - 5, // power mode - Low Power Mode - 0, // head room voltage - }, - }, - - // I2C Pull Up source - // Package() - // { - // "TLMMGPIO", - // Package() - // { - // 23, // PIN number = 23 - // 0, // State: IN active = 0x0 - // 0, // Function select = 0 - // 1, // direction = Output. - // 1, // Pull Down - // 0, // Drive Strength: 0x0 = 2mA - // }, - // }, - - // RESET pin - power save mode - Package() - { - "TLMMGPIO", // TLMMGPIO resource RESET - Package() - { - 32, // PIN number = 32 - 0, // State: IN active = 0x0 - 0, // Function select = 0 - 0, // direction = Output. - 1, // Pull Down - 0, // Drive Strength: 0x0 = 2mA - }, - }, - - // TS_INT configuration - power save mode - Package() - { - "TLMMGPIO", // TLMMGPIO resource TS_INT - Package() - { - 31, // PIN number = 31 - 0, // State: IN active = 0x0 - 0, // Function select = 0 - 0, // direction = Input. - 1, // Pull Down - 0, // Drive Strength: 0x0 = 2mA - }, - }, - }, - }, - }) -} diff --git a/legacy/beryllium/displayXML.asl b/legacy/beryllium/displayXML.asl deleted file mode 100644 index a504fee..0000000 --- a/legacy/beryllium/displayXML.asl +++ /dev/null @@ -1,397 +0,0 @@ -Name (PCFG, Buffer(){" - -TIANMA_NT36672A_FHD -Tianma Single DSI Video Mode Panel (1080x2246 24bpp) - - 1080 - 28 - 16 - 4 - 0 - 0 - 0 - 2246 - 120 - 12 - 4 - 0 - 0 - 0 - False - False - False - 0x0 - - - 8 - 3 - - - 2 - 0 - 36 - 1 - 4 - 0x3C0000 - False - False - False - False - True - True - True - - 00 - - - -//ebbg - 15 00 00 - FF 3C - 29 FF 87 19 01 - 15 00 80 - 29 FF 87 19 - 15 00 A0 - 29 D6 7A 79 74 8C 8C 92 97 9B 97 8F 80 77 - 15 00 B0 - 29 D6 7E 7D 81 7A 7A 7B 7C 81 84 85 80 82 - 15 00 C0 - 29 D6 7D 7D 78 8A 89 8F 97 97 8F 8C 80 7A - 15 00 D0 - 29 D6 7E 7D 81 7C 79 7B 7C 80 84 85 80 82 - 15 00 E0 - 29 D6 7B 7B 7B 80 80 80 80 80 80 80 80 80 - 15 00 F0 - 29 D6 7E 7E 80 80 80 80 80 80 80 80 80 80 - 15 00 00 - 29 D7 80 80 80 80 80 80 80 80 80 80 80 80 - 15 00 10 - 29 D7 80 80 80 80 80 80 80 80 80 80 80 80 - 15 00 A0 - 29 CA 0F 0F 0F - 15 00 80 - 29 CA BE B5 AD A6 A0 9B 96 91 8D 8A 87 83 - 15 00 90 - 29 CA FE FF 66 F6 FF 66 FB FF 32 - 15 00 00 - 29 FF 00 00 00 - 15 00 80 - 29 FF 00 00 - 15 51 FF - 15 53 24 - 15 55 00 - 05 11 - FF 78 - 05 29 - -//Tianma - 15 FF 22 - 15 00 40 - 15 01 C0 - 15 02 40 - 15 03 40 - 15 04 40 - 15 05 40 - 15 06 40 - 15 07 40 - 15 08 40 - 15 09 40 - 15 0A 40 - 15 0B 40 - 15 0C 40 - 15 0D 40 - 15 0E 40 - 15 0F 40 - 15 10 40 - 15 11 50 - 15 12 60 - 15 13 70 - 15 14 58 - 15 15 68 - 15 16 78 - 15 17 77 - 15 18 39 - 15 19 2D - 15 1A 2E - 15 1B 32 - 15 1C 37 - 15 1D 3A - 15 1E 40 - 15 1F 40 - 15 20 40 - 15 21 40 - 15 22 40 - 15 23 40 - 15 24 40 - 15 25 40 - 15 26 40 - 15 27 40 - 15 28 40 - 15 2D 00 - 15 2F 40 - 15 30 40 - 15 31 40 - 15 32 40 - 15 33 40 - 15 34 40 - 15 35 40 - 15 36 40 - 15 37 40 - 15 38 40 - 15 39 40 - 15 3A 40 - 15 3B 40 - 15 3D 40 - 15 3F 40 - 15 40 40 - 15 41 40 - 15 42 40 - 15 43 40 - 15 44 40 - 15 45 40 - 15 46 40 - 15 47 40 - 15 48 40 - 15 49 40 - 15 4A 40 - 15 4B 40 - 15 4C 40 - 15 4D 40 - 15 4E 40 - 15 4F 40 - 15 50 40 - 15 51 40 - 15 52 40 - 15 53 01 - 15 54 01 - 15 55 FE - 15 56 77 - 15 58 CD - 15 59 D0 - 15 5A D0 - 15 5B 50 - 15 5C 50 - 15 5D 50 - 15 5E 50 - 15 5F 50 - 15 60 50 - 15 61 50 - 15 62 50 - 15 63 50 - 15 64 50 - 15 65 50 - 15 66 50 - 15 67 50 - 15 68 50 - 15 69 50 - 15 6A 50 - 15 6B 50 - 15 6C 50 - 15 6D 50 - 15 6E 50 - 15 6F 50 - 15 70 07 - 15 71 00 - 15 72 00 - 15 73 00 - 15 74 06 - 15 75 0C - 15 76 03 - 15 77 09 - 15 78 0F - 15 79 68 - 15 7A 88 - 15 7C 80 - 15 7D 80 - 15 7E 80 - 15 7F 00 - 15 80 00 - 15 81 00 - 15 83 01 - 15 84 00 - 15 85 80 - 15 86 80 - 15 87 80 - 15 88 40 - 15 89 91 - 15 8A 98 - 15 8B 80 - 15 8C 80 - 15 8D 80 - 15 8E 80 - 15 8F 80 - 15 90 80 - 15 91 80 - 15 92 80 - 15 93 80 - 15 94 80 - 15 95 80 - 15 96 80 - 15 97 80 - 15 98 80 - 15 99 80 - 15 9A 80 - 15 9B 80 - 15 9C 80 - 15 9D 80 - 15 9E 80 - 15 9F 80 - 15 A0 8A - 15 A2 80 - 15 A6 80 - 15 A7 80 - 15 A9 80 - 15 AA 80 - 15 AB 80 - 15 AC 80 - 15 AD 80 - 15 AE 80 - 15 AF 80 - 15 B7 76 - 15 B8 76 - 15 B9 05 - 15 BA 0D - 15 BB 14 - 15 BC 0F - 15 BD 18 - 15 BE 1F - 15 BF 05 - 15 C0 0D - 15 C1 14 - 15 C2 03 - 15 C3 07 - 15 C4 0A - 15 C5 A0 - 15 C6 55 - 15 C7 FF - 15 C8 39 - 15 C9 44 - 15 CA 12 - 15 CD 80 - 15 DB 80 - 15 DC 80 - 15 DD 80 - 15 E0 80 - 15 E1 80 - 15 E2 80 - 15 E3 80 - 15 E4 80 - 15 E5 40 - 15 E6 40 - 15 E7 40 - 15 E8 40 - 15 E9 40 - 15 EA 40 - 15 EB 40 - 15 EC 40 - 15 ED 40 - 15 EE 40 - 15 EF 40 - 15 F0 40 - 15 F1 40 - 15 F2 40 - 15 F3 40 - 15 F4 40 - 15 F5 40 - 15 F6 40 - 15 FB 01 - 15 FF 23 - 15 FB 01 - 15 01 84 - 15 05 2D - 15 06 00 - 15 11 01 - 15 12 7B - 15 15 6F - 15 16 0B - 15 29 0A - 15 30 FF - 15 31 FF - 15 32 FF - 15 33 FF - 15 34 FF - 15 35 FF - 15 36 FF - 15 37 FF - 15 38 FC - 15 39 F8 - 15 3A F4 - 15 3B F1 - 15 3D EE - 15 3F EB - 15 40 E8 - 15 41 E5 - 15 2A 13 - 15 45 FF - 15 46 FF - 15 47 FF - 15 48 FF - 15 49 FF - 15 4A FF - 15 4B FF - 15 4C FF - 15 4D ED - 15 4E D5 - 15 4F BF - 15 50 A6 - 15 51 96 - 15 52 86 - 15 53 76 - 15 54 66 - 15 2B 0E - 15 58 FF - 15 59 FF - 15 5A FF - 15 5B FF - 15 5C FF - 15 5D FF - 15 5E FF - 15 5F FF - 15 60 F6 - 15 61 EA - 15 62 E1 - 15 63 D8 - 15 64 CE - 15 65 C3 - 15 66 BA - 15 67 B3 - 15 FF 25 - 15 FB 01 - 15 05 04 - 15 FF 26 - 15 FB 01 - 15 1C AF - 15 FF 10 - 15 FB 01 - 15 51 FF - 15 53 24 - 15 55 00 - 05 11 - FF 78 - 05 29 - FF 14 - 15 FF 24 - 15 FB 01 - 15 C3 01 - 15 C4 54 - 15 FF 10 - - - - - 1 - 2 - 100 - 15 - 600000 - 80 - 40 - 1 - 21 - 1 - 1 - True - 200 - 319970 - -"}) \ No newline at end of file diff --git a/legacy/beryllium/pmic_batt.asl b/legacy/beryllium/pmic_batt.asl deleted file mode 100644 index 76dd6cb..0000000 --- a/legacy/beryllium/pmic_batt.asl +++ /dev/null @@ -1,521 +0,0 @@ -Include("cust_pmic_batt.asl") - - // - // PMIC Battery Manger Driver - // - Device (PMBT) { - Name (_HID, "QCOM0264") - Alias(\_SB.PSUB, _SUB) - Name (_DEP, Package(0x2) { - \_SB_.PMIC, - \_SB_.ADC1, - //\_SB_.PEIC - }) - - Method (_STA) { - Return (0xB) // Device is installable, functional & should not be visible in OSPM/Device Manager - } - - Method (_CRS, 0x0, NotSerialized) { - Name (RBUF, ResourceTemplate () - { - //GpioInt(Edge, ActiveBoth, Shared, PullUp, 0, "\\_SB.PM01",,,,) {208} // 0x80 - PM_INT__SCHG_CHGR__CHGR_ERROR_RT_STS - Charger Error Interrupt - //GpioInt(Edge, ActiveHigh, Shared, PullUp, 0, "\\_SB.PM01",,,,) {336} // 0x210 - PM_INT__FG_BCL__IBT_HI - IBAT greater than threshold Interrupt. - //GpioInt(Edge, ActiveHigh, Shared, PullUp, 0, "\\_SB.PM01",,,,) {337} // 0x211 - PM_INT__FG_BCL__IBT_THI - VBatt less than threshold Interrupt - //GpioInt(Edge, ActiveHigh, Shared, PullUp, 0, "\\_SB.PM01",,,,) {352} // 0x220 - PM_INT__FG_MEM_IF__IMA_RDY - MEMIF access Interrupt - //GpioInt(Edge, ActiveHigh, Shared, PullUp, 0, "\\_SB.PM01",,,,) {215} // 0x87 - PM_INT__SCHG_CHGR__CHGR_7 - Termination Current Interrupt - //GpioInt(Edge, ActiveHigh, Shared, PullUp, 0, "\\_SB.PM01",,,,) {209} // 0x81 - PM_INT__SCHG_CHGR__CHARGING_STATE_CHANGE - Charger Inhibit Interrupt - GpioInt(Edge, ActiveHigh, Shared, PullUp, 0, "\\_SB.PM01",,,,) {329} // 0x209 - PM_INT__FG_BATT_INFO__VBT_LOW - VBAT_LOW Interrupt - //GpioInt(Edge, ActiveBoth, Shared, PullUp, 0, "\\_SB.PM01",,,,) {240} // 0xA0 - PM_INT__SCHG_DC__DCIN_COLLAPSE - Qi Wireless Charger Interrupt - GpioInt(Edge, ActiveHigh, Exclusive, PullUp, 0, "\\_SB.PM01",,,,) {331} // 0x20B - PM_INT__FG_BATT_INFO__BT_MISS - BATT_MISSING Interrupt - GpioInt(Edge, ActiveHigh, Shared, PullUp, 0, "\\_SB.PM01",,,,) {237} // 0x9D - PM_INT__SCHG_USB__USBIN_SOURCE_CHANGE - AICL_DONE IRQ (Rising Only) - //GpioInt(Edge, ActiveHigh, Shared, PullUp, 0, "\\_SB.PM01",,,,) {232} // 0x98 - PM_INT__SCHG_USB__USBIN_COLLAPSE - USB_UV IRQ (Rising Only) - //GpioInt(Edge, ActiveHigh, Exclusive, PullUp, 0, "\\_SB.PM01",,,,) {322} // 0x202 - PM_INT__FG_BATT_SOC__BSOC_DELTA - FULL_SOC Interrupt - //GpioInt(Edge, ActiveHigh, Exclusive, PullUp, 0, "\\_SB.PM01",,,,) {323} // 0x203 - PM_INT__FG_BATT_SOC__MSOC_DELTA - EMPTY_SOC Interrupt - // GpioInt(Edge, ActiveHigh, Exclusive, PullUp, 0, "\\_SB.PM01",,,,) {213} // 0x85 - PM_INT__SCHG_CHGR__FG_FVCAL_QUALIFIED - FVCAL_QUALIFIED IRQ - GpioInt(Edge, ActiveHigh, Shared, PullUp, 0, "\\_SB.PM01",,,,) {224} // 0x90 - PM_INT__SCHG_BATIF__BAT_TEMP - Jeita limit interrupt - - }) - Return (RBUF) - } - - //ACPI methods for Battery Manager Device - Method (BMNR) { - Name (CFG0, - Package(){ - 1, //* 0: Select Platform: 0- No HW, 1- SMChg+FGGge, 2- SMB3pChg+SMB3pGge, 3- LBChg+VMBMS - 0, //* 1: Error State Handling: 0- Don’t Shutdown, 1- Shutdown - 1, //* 2: Listen to BatteryClass: 0- No 1- Yes - 0, //* 3: Test Mode Power Flag: 0- Discharging, 1- PowerOnline+Charging - "CUST_PMIC" //* 4: cust_pmic config identifier - }) - Store(CUST, Index(CFG0, 4)) - Return (CFG0) - } - - //ACPI methods for Timer - Method (BTIM) { - Name (CFG0, - Package(){ - 30000, // Charging Heartbeat Timer - 10000, // Charging Tolerable Delay - 300000, // Discharging Heartbeat Timer - 120000, // Discharging Tolerable Delay - 0, // Poll Timer , 0=Timer not used. - 0, // Poll Tolerable Delay - 28080000, //Charging Timeout (TDone) Timer - 0, //Charging Timeout(TDone) Tolerable Delay - }) - Return (CFG0) - } - - - //ACPI methods for Battery Info - Method (BBAT) { - Name (CFG0, - Package(){ - 1, //* 0: Battery Technology - 0x4C494F4E, //* 1: Battery Chemistry: hex(LION) - 0xFFFFFFFF, //* 2: BFCC: (mWh), Design Capacity - 0xFFFFFFFF, //* 3: BFCC: (mWh), Full Charge Capacity - 0xFFFFFFFF, //* 4: PCT1: (% of FCC), Default Alert 1 - 0xFFFFFFFF, //* 5: PCT2: (% of FCC), Default Alert 2 - "QCOMBATT01", //* 6: Device Name - "Qualcomm", //* 7: Manufacture Name - "QCOMBAT01_07012011", //* 8: Battery Unique ID - "07012011", //* 9: Battery Serial Number - 19, //* 10: Battery Manufacture Date - 04, //* 11: Battery Manufacture Month - 2014 //* 12: Battery Manufacture Year - }) - //Local2 = Default Alert1 = PCT1 * BFCC / 100 - Multiply(PCT1,BFCC,Local0) - Divide(Local0, 100, Local1, Local2) - //Local3 = Default Alert2 = PCT2 * BFCC / 100 - Multiply(PCT2,BFCC,Local0) - Divide(Local0, 100, Local1, Local3) - Store(BFCC, Index(CFG0, 2)) - Store(BFCC, Index(CFG0, 3)) - Store(Local2, Index(CFG0, 4)) - Store(Local3, Index(CFG0, 5)) - Return (CFG0) - } - - //ACPI methods for Proprietary chargers - Method (BPCH) { - Name (CFG0, - Package(){ - 3000, // QC2.0 charger current = 3000mA - 3000, // QC3.0 charger current = 3000mA - 1500 // Invalid Wall charger current = 1500mA - }) - Return (CFG0) - } - - //ACPI methods for foldback chargers - Method (BFCH) { - Name (CFG0, - Package(){ - 1, // Feature enable/disable - 5, // No of consecutive times charger attach/detach - 5000, // msecs, Time elapsed between attach/detach - 900, // mA, Current setting for foldback charger - }) - Return (CFG0) - } - - //ACPI methods for coin cell charger - Method (BCCC) { - Name (CFG0, - Package(){ - 1, //Enable coin cell charger; 1 = enable, 0 = disable - 0, // RSET, 0=2K1, 1=1K7, 2=1K2, 3 = 0K8 - 0 // VSET, 0=2V5, 1=3V2, 2=3V1, 3=3V0 - }) - Return (CFG0) - } - - //ACPI methods for Recharge/Maintenance Mode - Method (BRCH) { - Name (CFG0, - Package(){ - 100, // Delta V Recharge threshold = 100mV - 0 // Delta V Recharge Reduction below Normal= 0mV - }) - Return (CFG0) - } - - //ACPI methods for Qi Charging - Method (_BQI) { - Name (CFG0, - Package(){ - 0, - }) - Return (CFG0) - } - - //ACPI methods for Interrupt Name - Method (BIRQ) { - Name (CFG0, - Package(){ - //"ChgError", //Charger Error - //"BclIrq1", //IBAT greater than threshold IRQ - //"BclIrq2", // VBAT less than threshold IRQ - //"MEMIFaccess", //MEMIF access granted IRQ - //"TccReached", // Termination Current IRQ - // "ChargerInhibit" // Charger Inhibit IRQ - "VbatLow", // VBAT LOW IRQ - //"QiWlcDet", // Qi charging - "BattMissing", // BATT_MISSING IRQ - "AiclDone", // AICL Done - // "UsbUv", //USB UV - //"SOCFull", //SOC Full IRQ - //"SOCEmpty", //SOC Empty IRQ - //"FvCal", //FVCAl IRQ - "JeitaLimit" //JEITA limit IRQ - }) - Return (CFG0) - } - //ACPI methods for Platform File - Method (BPLT) { - Name (CFG0, - Package(){ - 1024, //* 0: ACPI Version - 0xFFFFFFFF, //* 1: VNOM: (mV), Nominal Battery Voltage - 0xFFFFFFFF, //* 2: VLOW: (mV), Low Battery Voltage - 0xFFFFFFFF, //* 3: EMPT: (mV), VcutOff - 0xFFFFFFFF, //* 4: DCMA: (mA), DC Current - 1, //* 5: ChargePath Priority: Select 0 for DC, 1 for USB - 50, //* 6: RSLOW for maxFlashCurrentPrediction - 50, //* 7: RPARA for maxFlashCurrentPrediction - 5000, //* 8: VINFLASH for maxFlashCurrentPrediction - 8, //* 9: FlashParam for maxFlashCurrentPrediction - 1, //* 10: AFP Mode Supported - 80, //* 11: AFP Trigger Max Battery Temp (+80 deg C) - 0xFFFFFFEC, //* 12: AFP Trigger Min Battery Temp (-20 deg C) - 72, //* 13: Watchdog timer in secs - 100, //* 14: Charger iterm 100 mA for now - 30, //* 15: SRAM logging timer - 5, //* 16: VBATT average Window Size - 6, //* 17: Emergency Shutdown Initial SOC - 500, //* 18: SoC convergent point - 126, //* 19: LM_Threshold - 400, //* 20: MH_Threshold - 0xFFFFFFFF, //* 21: BOCP: (mA), OCP current used in BCL - 750, //* 22: soc (75%) below which no soc linearization even in CV charging - 1, //* 23: BMD - Battery Missing Detection Source when source is attached: BATT_ID (1=BATT_ID, 4=HW Misssing Algorithm) - 2, //* 24: ibat src sensing : 0 for batfet and 1 for external sensing - 50, //* 25: IFGD: (mA), FG Iterm delta; (iterm + this value) determines when FG report 100% - 10, //* 26: VFGD: (mV), CC to CV Vdelta; (Vfloat - this value) determine when FG report 100% - 1, //* 27: 0 - disable SOC linearization; 1 (nonzero): enable SOC linearization - 0xFFFFFFEC, //* 28: (Celcius), Temperature threshold do have different SOC slope limiter - 10, //* 29: (milli%) - SOC slope limiter when charging and at lower temperature than threshold - 10, //* 30: (milli%) - SOC slope limiter when charging and at higher temperature than threshold - 10, //* 31: (milli%) - SOC slope limiter when discharging and at lower temperature than threshold - 10, //* 32: (milli%) - SOC slope limiter when discharging and at higher temperature than threshold - 1, //* 33: 0 - disable FCC learning; 1 (nonzero): enable FCC leearning - 150, //* 34: maximum starting SOC (in tenth percent)at which FCC learning would be turned on during charging - 100, //* 35: maximum allowable decrement (in tenth percent) of battery capacity in FCC learning - 5, //* 36: maximum allowable increment (in tenth percent) of battery capacity in FCC learning - 10, //* 37: battery temperature in degree C below which switch to low temp ESR update steps - 0x02, //* 38: ESR update step tight, (2 * 0.001953 = 0.0039 = 0.4% max change each update) - 0x33, //* 39: ESR update step broad, (51* 0.001953 = 0.099603 = 10% max change each update) - 0x02, //* 40: ESR update step tight at low temp (below 10 degree, 0.4% max change each update) - 0x0A, //* 41: ESR update step broad at low temp (below 10 degree, 2% max change each update) - 0, //* 42: mOhm, RConn - 0, //* 43: Type C Thermal Mitigation Enable - 70, //* 44: Temperature to arm mitigation (degree C) - 50, //* 45: ICL adjustment (percent) - 60 //* 46: Temperature to disarm mitigation (degree C) - }) - Store(VNOM, Index(CFG0, 1)) - Store(VLOW, Index(CFG0, 2)) - Store(EMPT, Index(CFG0, 3)) - Store(DCMA, Index(CFG0, 4)) - Store(BOCP, Index(CFG0, 21)) - Store(IFGD, Index(CFG0, 25)) - Store(VFGD, Index(CFG0, 26)) - Return (CFG0) - } - - //ACPI methods for Platform File - Method (BPTM) { - Name (CFG0, - Package(){ - 15000, // Emergency Timer - 0, // Emergency Tolerable Delay - }) - Return (CFG0) - } - - //***************************************************** - // Battery Charge Table 1 (BCT1) - // Notes: used in Method(BJTA) & Method (BAT1) - //***************************************************** - Name (BCT1, Package(){ - 4350, //* 0: VDD1: (mV), Float Voltage (FV) - 2100, //* 1: FCC1: (mA), Full Charge Current (FCC) - 0, //* 2: HCLI: (C) hard cold limit - at which temperature charging will be disabled - 10, //* 3: SCLI: (C) soft cold limit - at which temperature charge current/float voltage will be reduced to JEITA compensated value - 45, //* 4: SHLI: (C) soft hot limit - at which temperature float voltage/charge current will be reduced to JEITA compensated value - 55, //* 5: HHLI: (C) hard hot limit - at which temperature charging will be disabled - 105, //* 6: FVC1: (mV) Float Voltage compensation (amount of FV reduction) when in battery hot-soft limit - 0, //* 7: (mV) Float Voltage compensation (amount of FV reduction) when in battery cold-soft limit - //* notes: put 0 value to disable - //* These values (10 vs 11) should be the same when HW JEITA is enabled - 0, //* 8: (mA) Charge Current compensation (amount of CC reduction) when in battery hot-soft limit - 1000, //* 9: CCC1: (mA) Charge Current compensation (amount of CC reduction) when in battery cold-soft limit - //* notes: put 0 value to disable - //* These values (12 vs 13) should be the same when HW JEITA is enabled - }) - - //ACPI methods for JEITA - Method (BJTA) { - Name (CFG0, - Package(){ - 2, //* 0: Select JEITA Configuration: 0- No JEITA, 1- SW JEITA, 2- HW JEITA - 2, //* 1: Temperature Hysteresis (in deg C) - Package(0xa){0,0,0,0,0,0,0,0,0,0} - //* 2: Structure for default charge table - }) - Store(VDD1, Index(\_SB_.PMBT.BCT1, 0)) - Store(FCC1, Index(\_SB_.PMBT.BCT1, 1)) - Store(HCLI, Index(\_SB_.PMBT.BCT1, 2)) - Store(SCLI, Index(\_SB_.PMBT.BCT1, 3)) - Store(SHLI, Index(\_SB_.PMBT.BCT1, 4)) - Store(HHLI, Index(\_SB_.PMBT.BCT1, 5)) - Store(FVC1, Index(\_SB_.PMBT.BCT1, 6)) - Store(CCC1, Index(\_SB_.PMBT.BCT1, 9)) - - //Use BCT1 as the Default Charge Table - Store(\_SB_.PMBT.BCT1, Index(CFG0, 2)) - Return (CFG0) - } - - //ACPI methods for Battery-1 (Ascent 860-82209-0000 3450mAh) - Method (BAT1) - { - Name (CFG0, - Package(){ - 0, //* 0: Battery Category: 0-NORMAL, 1-SMART - 0xFFFFFFEC, //* 1: min operating battery temp (-20 deg C) - 65, //* 2: max operating battery temp (+65 deg C) - Package(4){0,0,0,0}, //* 3: 128-bit battery info for future expansion - Package(0xa){0,0,0,0,0,0,0,0,0,0} - //* 4: Structure for charge table - }) - - //assign Charge Table to BCT1 - //Notes: 1) If the default charge table and desire charge table are different, - // Create another table (ex: BCT2) with the same structure as BCT1 and modify BCT1 below with the new table name - // 2) Method(BJTA) is parsed before this(BAT1) method in Battmngr module - // Method(BJTA) may be updating BCT1 parameters using configuration from cust_pmic_batt.asl (refer to BJTA method details) - // If BAT1 desires different value to be used (than what used in BJTA), pls change/update relevant parameter(s) here. - Store(\_SB_.PMBT.BCT1, Index(CFG0, 4)) - - Return (CFG0) - } - - //ACPI methods for Battery Error Handling - Method (BEHC) - { - //Actions for Battery Error Handling - // 0x0 - Do Nothing - // 0x1 - Reload Charge Table - // 0x2 - Error Shutdown - // 0x4 - Emergency Shutdown - // 0x8 - Enter Test Mode - Name (CFG0, - Package(){ - 1, //1-Feature Enable, 0-Feature Disable - 0x8, //Action(s) for DEBUG state -> Enter Test Mode - 0x1, //Action(s) for NORMAL state -> Reload Charge Table - 0x0, //Action(s) for SMART_AUTHENTICATED state -> Do nothing - 0x0, //Action(s) for UNKNOWN state -> Do nothing - 0x2, //Action(s) for NOT_PRESENT state -> Error Shutdown - 0x2, //Action(s) for INVALID state -> Error Shutdown - 0x4 //Action(s) for OUT_OP_RANGE state -> AFP for out of operational range - }) - Return (CFG0) - } - - //ACPI methods for Charge Table Management Configuration - Method (CTMC) - { - Name (CFG0, - Package(){ - 2000, //* 0: min RID for DEBUG category: 2K - 14000, //* 1: max RID for DEBUG category: 14K - 0xFFFFFFFF, //* 2: RID2: min RID for NORMAL category: 15K - 0xFFFFFFFF, //* 3: RID3: max RID for NORMAL category: 140K - 240000, //* 4: min RID for SMART category: 240K - 450000, //* 5: max RID for SMART category: 450K - 1, //* 6: Number of charging table - }) - Store(RID2, Index(CFG0, 2)) - Store(RID3, Index(CFG0, 3)) - Return (CFG0) - } - - //ACPI methods for Parallel Charging - Method (BMPC) { - Name (CFG0, - Package(){ - 0, //* 0: Feaature Enable. 1: Enabled, 0: Disable - 1, //* 1: Input Power Disctribution (HW) configuration: 0: MID-MID, 1: USBIN-USBIN - 7000, //* 2: (mW) Input Power Threshold to decide if parallel charging to be enabled or not - //* Note: Not applicable for MID-MID configuration - 1000, //* 3: (mA) Charge Current Threshold to decide if parallel charging to be enabled or not - 50, //* 4: (%) Slave Charger Initial Power Distribution - 60, //* 5: (mV) Slave Charger Float Voltage Headroom - 500, //* 6: (mA) Slave Charger Charge Current Done Threshold - 90, //* 7: Slave Charger Minimum Efficiency - 0, //* 8: Slave Charger HW ID. 0: SMB1380/1 - 70, //* 9: (%)Slave Charger Max Power Distribution: 70% - 0, //* 10: (%)Slave Charger Min Power Distribution: 0% - Package(0x4)//* 11: Thermal Balancing Configuration - { - 5, //11.1: (C)Temperature Difference to trigger thermal balancing. 0 to disable the feature - 5, //11.2: (%)Step to redistrubute the power - 120, //11.3: (Sec)Minimum Wait Time for each redistribution attempt - 5, //11.4: (C)Temperature Margin for Master Charger - } - }) - Return (CFG0) - } - } - - // - // PMIC Battery Miniclass Driver - // - Device (PMBM) { - Name (_HID, "QCOM0263") - Alias(\_SB.PSUB, _SUB) - Name (_DEP, Package(0x1) - { - \_SB_.PMBT - }) - - Method (_CRS, 0x0, NotSerialized) { - Name (RBUF, ResourceTemplate () { - }) - Return (RBUF) - } - - Method (_STA) { - Return (0xB) // Device is installable, functional & should not be visible in OSPM/Device Manager - } - } - -// -//FGBCL Driver -// -Device (BCL1) { - Name (_HID, "QCOM02D6") - Alias(\_SB.PSUB, _SUB) - Name (_DEP, Package(0x1) - { - \_SB_.PMIC - }) - - Method (_STA) { - Return (0xB) // Device is installable, functional & should not be visible in OSPM/Device Manager - } - - Method (_CRS, 0x0, NotSerialized) { - Name (RBUF, ResourceTemplate () { - GpioInt(Edge, ActiveBoth, Shared, PullUp, 0, "\\_SB.PM01",,,,RawDataBuffer(){0x08}) {64} // 0x1E8 - PM_INT__BCL_COMP__VCOMP_LOW0 - VCOMP_LOW0 IRQ - GpioInt(Edge, ActiveHigh, Shared, PullUp, 0, "\\_SB.PM01",,,,) {65} // 0x1E9 - PM_INT__BCL_COMP__VCOMP_LOW1 - VCOMP_LOW1 IRQ - GpioInt(Edge, ActiveHigh, Shared, PullUp, 0, "\\_SB.PM01",,,,) {66} // 0x1EA - PM_INT__BCL_COMP__VCOMP_LOW2 - VCOMP_LOW2 IRQ - GpioInt(Edge, ActiveHigh, Shared, PullUp, 0, "\\_SB.PM01",,,,) {67} // 0x1EB - PM_INT__BCL_COMP__VCOMP_HI - VCOMP_HI IRQ - //GpioInt(Edge, ActiveHigh, Shared, PullUp, 0, "\\_SB.PM01",,,,) {68} // 0x1EC - PM_INT__BCL_COMP__SYS_OK - SYS_OK IRQ - //GpioInt(Edge, ActiveHigh, Shared, PullUp, 0, "\\_SB.PM01",,,,) {72} // 0x1F0 - PM_INT__BCL_PLM__VCOMP_LVL0_PLM - LVL0_PLM IRQ - //GpioInt(Edge, ActiveHigh, Shared, PullUp, 0, "\\_SB.PM01",,,,) {74} // 0x1F2 -PM_INT__BCL_PLM__VCOMP_LVL2_PLM - LVL2_PLM IRQ - GpioInt(Edge, ActiveHigh, Shared, PullUp, 0, "\\_SB.PM01",,,,) {75} // 0x1F3 - PM_INT__BCL_PLM__VCOMP_BA - BAN alarm IRQ - GpioInt(Edge, ActiveHigh, Shared, PullUp, 0, "\\_SB.PM01",,,,) {336} // 0x210 - PM_INT__FG_BCL__IBT_HI - ibatt high IRQ - GpioInt(Edge, ActiveHigh, Shared, PullUp, 0, "\\_SB.PM01",,,,) {337} // 0x211 - PM_INT__FG_BCL__IBT_THI - ibatt too high IRQ - GpioInt(Edge, ActiveHigh, Shared, PullUp, 0, "\\_SB.PM01",,,,) {339} // 0x213 - PM_INT__FG_BCL__VBT_LO_CMP - vbatt low irq - GpioInt(Edge, ActiveHigh, Shared, PullUp, 0, "\\_SB.PM01",,,,) {340} // 0x214 - PM_INT__FG_BCL__VBT_TLO_CMP - vbatt too low irq - GpioInt(Edge, ActiveHigh, Shared, PullUp, 0, "\\_SB.PM01",,,,) {324} // 0x204 - PM_INT__FG_BATT_SOC__MSOC_LOW - MSOC_Low Interrupt - GpioInt(Edge, ActiveHigh, Shared, PullUp, 0, "\\_SB.PM01",,,,) {326} // 0x206 - PM_INT__FG_BATT_SOC__MSOC_HIGH - MSOC_HI Interrupt - GpioInt(Edge, ActiveBoth, Shared, PullUp, 0, "\\_SB.PM01",,,,RawDataBuffer(){0x08}) {344} // 0x218 - PM_INT__FG_LMH__LMH_LVL0 - LMH_LVL0 IRQ - GpioInt(Edge, ActiveBoth, Shared, PullUp, 0, "\\_SB.PM01",,,,RawDataBuffer(){0x08}) {345} // 0x219 - PM_INT__FG_LMH__LMH_LVL1 - LMH_LVL1 IRQ - GpioInt(Edge, ActiveBoth, Shared, PullUp, 0, "\\_SB.PM01",,,,RawDataBuffer(){0x08}) {346} // 0x21A - PM_INT__FG_LMH__LMH_LVL2 - LMH_LVL2 IRQ - - }) - Return (RBUF) - } - //ACPI methods for FGBCL device - Method (BCLS) { - Name (CFG0, - Package(){ - 3, //* FGBCL ACPI revision - 7, //* 0: BCL disabled, 1: vph_pwr bcl enabled, 2: fg vbatt enabled, 4: fg ibatt enabled - 5000, //* battery ocp current - 80, //* ibatt high threshold is set to 80 for 80% of OCP - 90, //* ibatt too high is set to 90 for 90% of OCP - 2800, //* vbatt low is set to 2800 mV - 2600, //* vbatt too low is set to 2600 mV - 3200, //* vcomp_low0 threshold is 3200 mv - 2750, //* vcomp_low1 threshold is 2750 mv - 2500, //* vcomp_low2 threshold is 2500 mV - 10, //* poll timer for battery soc polling. - 1, //* 1- enable battery percent notification. 0-disable battery percent notification - 2000, //* debug board Min battery ID in Ohm - 14000 //* debug board Max battery ID in Ohm - }) - Return (CFG0) - } - //ACPI methods for Interrupt Name - Method (BCLQ) { - Name (CFG0, - Package(){ - "VCOMP_LOW0", //vcomp_low0 IRQ - "VCOMP_LOW1", //vcomp_low1 IRQ - "VCOMP_LOW2", //vcomp_low2 IRQ - "VCOMP_HI", //vcomp_hi IRQ - //"SYS_OK", // sys_ok irq - //"LVL0_PLM", // LVL0_PLM IRQ - //"LVL1_PLM" // LVL1_PLM IRQ - //"LVL2_PLM", //LVL2_PLM IRQ - "BAN_ALARM", // BAN_ALARM IRQ - "IBATT_HI", // IBATT HIGH IRQ - "IBATT_THI", // IBATT TOO HIGH IRQ - "VBATT_LOW", // VBATT_LOW IRQ - "VBATT_TLOW", // VBATT TOO LOW IRQ - "MSOC_LOW", //monotonic soc low IRQ - "MSOC_HI", //monotonic soc high IRQ - "LMH_LVL0", //LMH_LVL0 IRQ - "LMH_LVL1", //LMH_LVL1 IRQ - "LMH_LVL2", //LMH_LVL2 IRQ - }) - Return (CFG0) - } -} - -// -//PMIC Type-C Controler Driver (PMICTCC) Driver -// -Device(PTCC) -{ - Name (_HID, "QCOM02E6") - Alias(\_SB.PSUB, _SUB) - Name (_DEP, Package(0x1) {\_SB_.PMIC}) - Method (_CRS, 0x0, NotSerialized) { - Name (RBUF, ResourceTemplate () { - GpioInt(Edge, ActiveHigh, SharedAndWake, PullNone, 0, "\\_SB.PM01",,,,) {239} // 0x9F - PM_INT__SCHG_USB__TYPE_C_OR_RID_DETECTION_CHANGE - CC State Changed IRQ - GpioInt(Edge, ActiveHigh, SharedAndWake, PullNone, 0, "\\_SB.PM01",,,,) {270} // 0xBE - PM_INT__USB_PD__MESSAGE_RX_DISCARDED - Message RX Discarded IRQ - GpioInt(Edge, ActiveHigh, SharedAndWake, PullNone, 0, "\\_SB.PM01",,,,) {269} // 0xBD - PM_INT__USB_PD__MESSAGE_TX_DISCARDED - Message TX Discarded IRQ - GpioInt(Edge, ActiveHigh, SharedAndWake, PullNone, 0, "\\_SB.PM01",,,,) {268} // 0xBC - PM_INT__USB_PD__MESSAGE_TX_FAILED - Message TX Failed IRQ - GpioInt(Edge, ActiveHigh, SharedAndWake, PullNone, 0, "\\_SB.PM01",,,,) {267} // 0xBB - PM_INT__USB_PD__MESSAGE_RECEIVED - Message Received IRQ - GpioInt(Edge, ActiveHigh, SharedAndWake, PullNone, 0, "\\_SB.PM01",,,,) {266} // 0xBA - PM_INT__USB_PD__MESSAGE_SENT - Message Sent IRQ - GpioInt(Edge, ActiveHigh, SharedAndWake, PullNone, 0, "\\_SB.PM01",,,,) {265} // 0xB9 - PM_INT__USB_PD__SIGNAL_RECEIVED - Singal Received IRQ - GpioInt(Edge, ActiveHigh, SharedAndWake, PullNone, 0, "\\_SB.PM01",,,,) {264} // 0xB8 - PM_INT__USB_PD__SIGNAL_SENT - Signal Sent IRQ - GpioInt(Edge, ActiveHigh, Exclusive, PullUp, 0, "\\_SB.PM01",,,,) {217} // 0x89 - PM_INT__SCHG_OTG__OTG_OVERCURRENT - OTG_OC_IRQ - GpioInt(Edge, ActiveBoth, Exclusive, PullUp, 0, "\\_SB.PM01",,,,) {263} // 0xB7 - PM_INT__SCHG_MISC__SWITCHER_POWER_OK - SWITCHER_POWER_OK (CHG_MISC) - GpioInt(Edge, ActiveHigh, Exclusive, PullUp, 0, "\\_SB.PM01",,,,) {235} // 0x9B - PM_INT__SCHG_USB__USBIN_OV - USBIN_OV (CHG_USB) - // GpioIo (Exclusive, PullUp, 0, 0, , "\\_SB.PM01", , , , ) {493} // 0x668 - PM_INT__PM2_GPIO14__GPIO_IN_STS - GPIO14B – For Type-C Debug Accessory Mode - }) - Return (RBUF) - } -} diff --git a/legacy/beryllium/thz.asl b/legacy/beryllium/thz.asl deleted file mode 100644 index a3a3a39..0000000 --- a/legacy/beryllium/thz.asl +++ /dev/null @@ -1,557 +0,0 @@ -// -// The Driver for Dynamically Changing Thresholds -// of Thermal Zones -// - -Method(THTZ, 0x4, NotSerialized) -{ - - // Switch based on thermal zone number - Switch(toInteger(Arg0)) - { - Case(1) - { - Switch(toInteger(Arg3)) - { - Case(0) { - If(Arg2) - { - Store(Arg1, \_SB.TZ1.TPSV) - Notify(\_SB.TZ1, 0x81) - } - Return(\_SB.TZ1._PSV) - } - - Case(2) { - If(Arg2) - { - Store(Arg1, \_SB.TZ1.TTSP) - Notify(\_SB.TZ1, 0x81) - } - Return(\_SB.TZ1._TSP) - } - - Case(3) { - If(Arg2) - { - Store(Arg1, \_SB.TZ1.TTC1) - Notify(\_SB.TZ1, 0x81) - } - Return(\_SB.TZ1._TC1) - } - - Case(4) { - If(Arg2) - { - Store(Arg1, \_SB.TZ1.TTC2) - Notify(\_SB.TZ1, 0x81) - } - Return(\_SB.TZ1._TC2) - } - - Default - { - Return(0xFFFF) - } - } - } - - Case(3) - { - Switch(toInteger(Arg3)) - { - Case(0) { - If(Arg2) - { - Store(Arg1, \_SB.TZ3.TPSV) - Notify(\_SB.TZ3, 0x81) - } - Return(\_SB.TZ3._PSV) - } - - Case(2) { - If(Arg2) - { - Store(Arg1, \_SB.TZ3.TTSP) - Notify(\_SB.TZ3, 0x81) - } - Return(\_SB.TZ3._TSP) - } - - Case(3) { - If(Arg2) - { - Store(Arg1, \_SB.TZ3.TTC1) - Notify(\_SB.TZ3, 0x81) - } - Return(\_SB.TZ3._TC1) - } - - Case(4) { - If(Arg2) - { - Store(Arg1, \_SB.TZ3.TTC2) - Notify(\_SB.TZ3, 0x81) - } - Return(\_SB.TZ3._TC2) - } - - Default - { - Return(0xFFFF) - } - } - } - - Case(20) - { - Switch(toInteger(Arg3)) - { - Case(0) { - If(Arg2) - { - Store(Arg1, \_SB.TZ20.TPSV) - Notify(\_SB.TZ20, 0x81) - } - Return(\_SB.TZ20._PSV) - } - - Case(2) { - If(Arg2) - { - Store(Arg1, \_SB.TZ20.TTSP) - Notify(\_SB.TZ20, 0x81) - } - Return(\_SB.TZ20._TSP) - } - - Case(3) { - If(Arg2) - { - Store(Arg1, \_SB.TZ20.TTC1) - Notify(\_SB.TZ20, 0x81) - } - Return(\_SB.TZ20._TC1) - } - - Case(4) { - If(Arg2) - { - Store(Arg1, \_SB.TZ20.TTC2) - Notify(\_SB.TZ20, 0x81) - } - Return(\_SB.TZ20._TC2) - } - - Default - { - Return(0xFFFF) - } - } - } - - Case(21) - { - Switch(toInteger(Arg3)) - { - Case(0) { - If(Arg2) - { - Store(Arg1, \_SB.TZ21.TPSV) - Notify(\_SB.TZ21, 0x81) - } - Return(\_SB.TZ21._PSV) - } - - Case(2) { - If(Arg2) - { - Store(Arg1, \_SB.TZ21.TTSP) - Notify(\_SB.TZ21, 0x81) - } - Return(\_SB.TZ21._TSP) - } - - Case(3) { - If(Arg2) - { - Store(Arg1, \_SB.TZ21.TTC1) - Notify(\_SB.TZ21, 0x81) - } - Return(\_SB.TZ21._TC1) - } - - Case(4) { - If(Arg2) - { - Store(Arg1, \_SB.TZ21.TTC2) - Notify(\_SB.TZ21, 0x81) - } - Return(\_SB.TZ21._TC2) - } - - Default - { - Return(0xFFFF) - } - } - } - - Case(33) { - Switch(toInteger(Arg3)) - { - Case(0) { - If(Arg2) - { - Store(Arg1, \_SB.TZ33.TPSV) - Notify(\_SB.TZ33, 0x81) - } - Return(\_SB.TZ33._PSV) - } - - Case(2) { - If(Arg2) - { - Store(Arg1, \_SB.TZ33.TTSP) - Notify(\_SB.TZ33, 0x81) - } - Return(\_SB.TZ33._TSP) - } - - Case(3) { - If(Arg2) - { - Store(Arg1, \_SB.TZ33.TTC1) - Notify(\_SB.TZ33, 0x81) - } - Return(\_SB.TZ33._TC1) - } - - Case(4) { - If(Arg2) - { - Store(Arg1, \_SB.TZ33.TTC2) - Notify(\_SB.TZ33, 0x81) - } - Return(\_SB.TZ33._TC2) - } - - Default - { - Return(0xFFFF) - } - } - } - - Case(36) { - Switch(toInteger(Arg3)) - { - Case(0) { - If(Arg2) - { - Store(Arg1, \_SB.TZ36.TPSV) - Notify(\_SB.TZ36, 0x81) - } - Return(\_SB.TZ36._PSV) - } - - Case(2) { - If(Arg2) - { - Store(Arg1, \_SB.TZ36.TTSP) - Notify(\_SB.TZ36, 0x81) - } - Return(\_SB.TZ36._TSP) - } - - Case(3) { - If(Arg2) - { - Store(Arg1, \_SB.TZ36.TTC1) - Notify(\_SB.TZ36, 0x81) - } - Return(\_SB.TZ36._TC1) - } - - Case(4) { - If(Arg2) - { - Store(Arg1, \_SB.TZ36.TTC2) - Notify(\_SB.TZ36, 0x81) - } - Return(\_SB.TZ36._TC2) - } - - Default - { - Return(0xFFFF) - } - } - } - - Case(37) { - Switch(toInteger(Arg3)) - { - Case(0) { - If(Arg2) - { - Store(Arg1, \_SB.TZ37.TPSV) - Notify(\_SB.TZ37, 0x81) - } - Return(\_SB.TZ37._PSV) - } - - Case(1) - { - If(Arg2) - { - Store(Arg1, \_SB.TZ37.TCRT) - Notify(\_SB.TZ37, 0x81) - } - Return(\_SB.TZ37._CRT) - } - - Case(2) { - If(Arg2) - { - Store(Arg1, \_SB.TZ37.TTSP) - Notify(\_SB.TZ37, 0x81) - } - Return(\_SB.TZ37._TSP) - } - - Case(3) { - If(Arg2) - { - Store(Arg1, \_SB.TZ37.TTC1) - Notify(\_SB.TZ37, 0x81) - } - Return(\_SB.TZ37._TC1) - } - - Case(4) { - If(Arg2) - { - Store(Arg1, \_SB.TZ37.TTC2) - Notify(\_SB.TZ37, 0x81) - } - Return(\_SB.TZ37._TC2) - } - - Default - { - Return(0xFFFF) - } - } - } - - Case(38) { - Switch(toInteger(Arg3)) - { - Case(0) { - If(Arg2) - { - Store(Arg1, \_SB.TZ38.TPSV) - Notify(\_SB.TZ38, 0x81) - } - Return(\_SB.TZ38._PSV) - } - - Default - { - Return(0xFFFF) - } - } - } - - Case(40) { - Switch(toInteger(Arg3)) - { - Case(0) { - If(Arg2) - { - Store(Arg1, \_SB.TZ40.TPSV) - Notify(\_SB.TZ40, 0x81) - } - Return(\_SB.TZ40._PSV) - } - - Case(2) { - If(Arg2) - { - Store(Arg1, \_SB.TZ40.TTSP) - Notify(\_SB.TZ40, 0x81) - } - Return(\_SB.TZ40._TSP) - } - - Case(3) { - If(Arg2) - { - Store(Arg1, \_SB.TZ40.TTC1) - Notify(\_SB.TZ40, 0x81) - } - Return(\_SB.TZ40._TC1) - } - - Case(4) { - If(Arg2) - { - Store(Arg1, \_SB.TZ40.TTC2) - Notify(\_SB.TZ40, 0x81) - } - Return(\_SB.TZ40._TC2) - } - - Default - { - Return(0xFFFF) - } - } - } - - Case(44) { - Switch(toInteger(Arg3)) - { - Case(0) { - If(Arg2) - { - Store(Arg1, \_SB.TZ44.TPSV) - Notify(\_SB.TZ44, 0x81) - } - Return(\_SB.TZ44._PSV) - } - - Case(2) { - If(Arg2) - { - Store(Arg1, \_SB.TZ44.TTSP) - Notify(\_SB.TZ44, 0x81) - } - Return(\_SB.TZ44._TSP) - } - - Case(3) { - If(Arg2) - { - Store(Arg1, \_SB.TZ44.TTC1) - Notify(\_SB.TZ44, 0x81) - } - Return(\_SB.TZ44._TC1) - } - - Case(4) { - If(Arg2) - { - Store(Arg1, \_SB.TZ44.TTC2) - Notify(\_SB.TZ44, 0x81) - } - Return(\_SB.TZ44._TC2) - } - - Default - { - Return(0xFFFF) - } - } - } - - Case(98) - { - Switch(toInteger(Arg3)) - { - Case(0) { - If(Arg2) - { - Store(Arg1, \_SB.TZ98.TPSV) - Notify(\_SB.TZ98, 0x81) - } - Return(\_SB.TZ98._PSV) - } - - Case(2) { - If(Arg2) - { - Store(Arg1, \_SB.TZ98.TTSP) - Notify(\_SB.TZ98, 0x81) - } - Return(\_SB.TZ98._TSP) - } - - Case(3) { - If(Arg2) - { - Store(Arg1, \_SB.TZ98.TTC1) - Notify(\_SB.TZ98, 0x81) - } - Return(\_SB.TZ98._TC1) - } - - Case(4) { - If(Arg2) - { - Store(Arg1, \_SB.TZ98.TTC2) - Notify(\_SB.TZ98, 0x81) - } - Return(\_SB.TZ98._TC2) - } - - Default - { - Return(0xFFFF) - } - } - } - - Case(99) - { - Switch(toInteger(Arg3)) - { - Case(1) { - If(Arg2) - { - Store(Arg1, \_SB.TZ99.TCRT) - Notify(\_SB.TZ99, 0x81) - } - Return(\_SB.TZ99._CRT) - } - - Case(2) { - If(Arg2) - { - Store(Arg1, \_SB.TZ99.TTSP) - Notify(\_SB.TZ99, 0x81) - } - Return(\_SB.TZ99._TSP) - } - - Case(3) { - If(Arg2) - { - Store(Arg1, \_SB.TZ99.TTC1) - Notify(\_SB.TZ99, 0x81) - } - Return(\_SB.TZ99._TC1) - } - - Case(4) { - If(Arg2) - { - Store(Arg1, \_SB.TZ99.TTC2) - Notify(\_SB.TZ99, 0x81) - } - Return(\_SB.TZ99._TC2) - } - - Default - { - Return(0xFFFF) - } - } - } - - Default { - Return(0xFFFF) - } - } -} diff --git a/legacy/beryllium/wcnss_bt.asl b/legacy/beryllium/wcnss_bt.asl deleted file mode 100644 index eb5021c..0000000 --- a/legacy/beryllium/wcnss_bt.asl +++ /dev/null @@ -1,49 +0,0 @@ -// -// WCN3990 Bluetooth -// -Device(BTH0) -{ - Name(_HID, "QCOM02B5") - Alias(\_SB.PSUB, _SUB) - Name(_DEP, Package(0x3) - { - \_SB_.PEP0, - \_SB_.PMIC, - \_SB_.UAR7 // depends on UART ACPI definition - }) - Name(_PRW, Package(0x2) - { - Zero, - Zero - }) - Name(_S4W, 0x2) - Name(_S0W, 0x2) - Method(_CRS, 0x0, NotSerialized) - { - Name(PBUF, ResourceTemplate() - { - UARTSerialBus( - 115200, // ConnectionSpeed - DataBitsEight, // BitsPerByte (defaults to DataBitsEight) - StopBitsOne, // StopBits (defaults to StopBitsOne) - 0xC0, // LinesInUse - LittleEndian, // IsBigEndian (defaults to LittleEndian) - ParityTypeNone, // Parity (defaults to ParityTypeNone) - FlowControlHardware, // FlowControl (defaults to FlowControlNone) - 0x20, // ReceiveBufferSize - 0x20, // TransmitBufferSize - "\\_SB.UAR7", // depends on UART ACPI definition - 0, // ResourceSourceIndex (defaults to 0) - ResourceConsumer, // ResourceUsage (defaults to ResourceConsumer) - , // DescriptorName - ) - - // GpioIo(Exclusive, PullDown, 0, 0, , "\\_SB.PM01", , , , ) {146} // 0x690 - PM_INT__PM1_GPIO19__GPIO_IN_STS - }) - Return(PBUF) - } - Method(_STA, 0x0, NotSerialized) - { - Return(0xF) - } -}//End BTH0 diff --git a/legacy/beryllium/wcnss_resources.asl b/legacy/beryllium/wcnss_resources.asl deleted file mode 100644 index 18cc61c..0000000 --- a/legacy/beryllium/wcnss_resources.asl +++ /dev/null @@ -1,384 +0,0 @@ -// PEP resources for WCNSS -Scope(\_SB_.PEP0) -{ - //Wireless Connectivity Devices - Method(EWMD) - { - Return(WBRC) - } - - Name(WBRC, - Package() - { - // PEP settings for Wlan iHelium - Package() - { - "DEVICE", - "\\_SB.AMSS.QWLN", - - Package() - { - "COMPONENT", - 0x0, // Component 0 - - Package() - { - "FSTATE", - 0x0, // F0 state - }, - }, - - Package() - { - "DSTATE", - 0x0, // D0 state - - package() - { - "PMICVREGVOTE", - package() - { - "PPP_RESOURCE_ID_SMPS7_A", // Resource ID - 2, // Voltage Regulator type 2 = SMPS - 1028000, // Voltage = 1.028 V - 1, // Software Enable = Enable - 6, // Software Power Mode = Auto - 0, // Head Room - }, - }, - package() - { - "PMICVREGVOTE", - package() - { - "PPP_RESOURCE_ID_LDO5_A", // Resource ID - 1, // Voltage Regulator type 1 = LDO - 800000, // Voltage = 0.8 V - 1, // Software Enable = Enable - 7, // Software Power Mode = NPM - 0, // Head Room - }, - }, - }, - Package() - { - "DSTATE", - 0x2, // D2 state - - Package() - { - "PMICVREGVOTE", - package() - { - "PPP_RESOURCE_ID_LDO5_A", // Resource ID - 1, // Voltage Regulator type 1 = LDO - 0, // Voltage = 0 V - 0, // Software Enable = Disable - 5, // Software Power Mode = LPM - 0, // Head Room - }, - }, - package() - { - "PMICVREGVOTE", - package() - { - "PPP_RESOURCE_ID_SMPS7_A", // Resource ID - 2, // Voltage Regulator type 2 = SMPS - 0, // Voltage = 0 V - 0, // Software Enable = Disable - 5, // Software Power Mode = LPM - 0, // Head Room - }, - }, - }, - Package() - { - "DSTATE", - 0x3, // D3 state - - Package() - { - "PMICVREGVOTE", - package() - { - "PPP_RESOURCE_ID_LDO5_A", // Resource ID - 1, // Voltage Regulator type 1 = LDO - 0, // Voltage = 0 V - 0, // Software Enable = Disable - 5, // Software Power Mode = LPM - 0, // Head Room - }, - }, - package() - { - "PMICVREGVOTE", - package() - { - "PPP_RESOURCE_ID_SMPS7_A", // Resource ID - 2, // Voltage Regulator type 2 = SMPS - 0, // Voltage = 0 V - 0, // Software Enable = Disable - 5, // Software Power Mode = LPM - 0, // Head Room - }, - }, - }, - - package() - { - "ABANDON_DSTATE", - 2 // Abandon D state defined as D2 - }, - }, - // END AMSS.QWLN - - // PEP settings for Ltecoex device - Package() - { - "DEVICE", - "\\_SB.COEX", - Package() - { - "COMPONENT", - 0x0, // Component 0. - Package() - { - "FSTATE", - 0x0, // f0 state - }, - - Package() - { - "PSTATE", - 0x0, // P0 state - - package() - { - "PMICVREGVOTE", - package() - { - "PPP_RESOURCE_ID_SMPS7_A", // Resource ID - 2, // Voltage Regulator type 2 = SMPS - 1028000, // Voltage = 1.028 V - 1, // Software Enable = Enable - 6, // Software Power Mode = Auto - 0, // Head Room - }, - }, - - package() - { - "PMICVREGVOTE", - package() - { - "PPP_RESOURCE_ID_LDO5_A", // Resource ID - 1, // Voltage Regulator type 1 = LDO - 800000, // Voltage = 0.8 V - 1, // Software Enable = Enable - 7, // Software Power Mode = NPM - 0, // Head Room - }, - }, - }, - Package() - { - "PSTATE", - 0x1, // P1 state - - Package() - { - "PMICVREGVOTE", - package() - { - "PPP_RESOURCE_ID_LDO5_A", // Resource ID - 1, // Voltage Regulator type 1 = LDO - 0, // Voltage = 0 V - 0, // Software Enable = Disable - 5, // Software Power Mode = LPM - 0, // Head Room - }, - }, - package() - { - "PMICVREGVOTE", - package() - { - "PPP_RESOURCE_ID_SMPS7_A", // Resource ID - 2, // Voltage Regulator type 2 = SMPS - 0, // Voltage = 0 V - 0, // Software Enable = Disable - 5, // Software Power Mode = LPM - 0, // Head Room - }, - }, - }, - }, - }, - // END _SB.COEX - - // PEP settings for Bluetooth SOC - Package() - { - "DEVICE", - "\\_SB.BTH0", - Package() - { - "COMPONENT", - 0x0, // Component 0. - Package() - { - "FSTATE", - 0x0, // f0 state - }, - }, - Package() - { - "DSTATE", - 0x0, // D0 state - - package() - { - "PMICVREGVOTE", - package() - { - "PPP_RESOURCE_ID_SMPS3_A", // Resource ID - 2, // Voltage Regulator type 2 = SMPS - 1352000, // Voltage = 1.352 V - 1, // Software Enable = Enable - 6, // Software Power Mode = Auto - 0, // Head Room - }, - }, - package() - { - "PMICVREGVOTE", - package() - { - "PPP_RESOURCE_ID_SMPS5_A", // Resource ID - 2, // Voltage Regulator type 2 = SMPS - 2040000, // Voltage = 2.04 V - 1, // Software Enable = Enable - 6, // Software Power Mode = Auto - 0, // Head Room - }, - }, - Package() - { - "PMICVREGVOTE", - package() - { - "PPP_RESOURCE_ID_LDO7_A", // Resource ID - 1, // Voltage Regulator type 1 = LDO - 1800000, // Voltage = 1.8 V - 1, // Software Enable = Enable - 5, // Software Power Mode = LPM - 0, // Head Room - }, - }, - Package() - { - "PMICVREGVOTE", - package() - { - "PPP_RESOURCE_ID_LDO17_A", // Resource ID - 1, // Voltage Regulator type 1 = LDO - 1304000, // Voltage = 1.304 V - 1, // Software Enable = Enable - 5, // Software Power Mode = LPM - 0, // Head Room - }, - }, - Package() - { - "PMICVREGVOTE", - package() - { - "PPP_RESOURCE_ID_LDO25_A", // Resource ID - 1, // Voltage Regulator type 1 = LDO - 3104000, // Voltage = 3.104 V - 1, // Software Enable = Enable - 5, // Software Power Mode = LPM - 0, // Head Room - }, - }, - }, - Package() - { - "DSTATE", - 0x3, // D3 state - - Package() - { - "PMICVREGVOTE", - package() - { - "PPP_RESOURCE_ID_LDO7_A", // Resource ID - 1, // Voltage Regulator type 1 = LDO - 0, // Voltage = 0 V - 0, // Software Enable = Disable - 5, // Software Power Mode = LPM - 0, // Head Room - }, - }, - Package() - { - "PMICVREGVOTE", - package() - { - "PPP_RESOURCE_ID_LDO17_A", // Resource ID - 1, // Voltage Regulator type 1 = LDO - 0, // Voltage = 0 V - 0, // Software Enable = Disable - 5, // Software Power Mode = LPM - 0, // Head Room - }, - }, - Package() - { - "PMICVREGVOTE", - package() - { - "PPP_RESOURCE_ID_LDO25_A", // Resource ID - 1, // Voltage Regulator type 1 = LDO - 0, // Voltage = 0 V - 0, // Software Enable = Disable - 5, // Software Power Mode = LPM - 0, // Head Room - }, - }, - package() - { - "PMICVREGVOTE", - package() - { - "PPP_RESOURCE_ID_SMPS3_A", // Resource ID - 2, // Voltage Regulator type 2 = SMPS - 0, // Voltage = 0 V - 0, // Software Enable = Disable - 5, // Software Power Mode = LPM - 0, // Head Room - }, - }, - package() - { - "PMICVREGVOTE", - package() - { - "PPP_RESOURCE_ID_SMPS5_A", // Resource ID - 2, // Voltage Regulator type 2 = SMPS - 0, // Voltage = 0 V - 0, // Software Enable = Disable - 5, // Software Power Mode = LPM - 0, // Head Room - }, - }, - }, - }, - // END BTH0 - - // PEP settings for FM SOC - // END FM - - }) // END WBRC -} diff --git a/legacy/dipper/DSDT.aml b/legacy/dipper/DSDT.aml deleted file mode 100644 index bc826165a38ea44296e43bdb5eace70beeba48b1..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 241212 zcmeFa3790;RVEsdTV+LNR#!(?@9KR~t0i^U(yJs}m0MM1cV=a(GOM)WRCjf$Rdz3> zu5K+e7?&1=yh>w(hs8k4v<-aN;1BzO&G5hvYj_OHJY$T3vEczW3}BBjJTv3*F!uXz z+#5IUjU_WOBdS}nO5o^Q87Ka8?so2S&bhZxFEsA@#i$aw`<{HYQrTWFRE`}U+^$3w zMJY`-%CW?b?N>)r%G!qxH0!x$NWC9p;nzF|2C$ki;B|!u0Otm$d|0ur*oO9;$(3=J6Keb^=he*dPq&y zONDxd<`h4c3NvX{i6AvoIiW?!KPAu<#)?`sSsyFbGslh}KQ?InXM9uX`>yic@2)~I z0k%Hb_rr7gyT6H2OHNf9xwN8u_6JGoKBTtex3Nmjc-F}?YoxPCH}aJ%C_eTMk}4xL zswQhw_0sCqS|3nLef^h|=fOfrB`bweL5n{4Of@+@Rv%0@3&onAP;12+(i5dZhE(71 zq3mHLK{DOM|B}r-e$VD>CH^kioGujBlmK;bnrz$hbHRZu)awy6CFcnCP5P`-^ zRY|BLN4$l?J3}ay36<{=q{=3=@1tTZC6#`KiuE-V$Gf&eR#n-8|0#o4)+@?JWfy+_ zwDJ(D{Q%)_kf^GXBqWN$Nq#^eVVN69Gz+D=g3Q$$S!8b2gU?LHv$;aky^sfo4-Jd? zAtlPcO+C0YRjm#6Dk()xW@mC`Wk7FE6~`l2Q}26J>s^>9za@+H$+9+(Eab+@+Io~= zqE;#8O>TAkVO8niuu(#!CTo+m!F+Z^U^cL?R>_Yk$^6*(puRq)MfF%jQT0Sbiy)1E zVp{wfdHLWo<#eqwI3(d7x8(v=z#0{#v2rx9O{kGRErqtxtEv&+HU_di%|g8r`(P;n zghq34byQV;^8Jr0Bz?6Ud!O;!8m)iy#og7v{^Or6JzKu{%6ne^gS$UCXwVNS3qST| zLOgUeO=yRdYe0y;y!vX!_*1=RjZ>0N?ZUzkjCBq9bTN9Y}HplZdG_Kf#Pak>H0U{M?C-KPYt=i`IY=HJ5C8{P! zTg4wnGX{|ojrVOBD;EYw(*qN+=J=E)_ofHe7~=M8ON~l#i2e1Fwmq9~n16kY{B`fp z0zn|e*9ax4uliI&H49+aDu50k6~boWQ3AMtG?*+94ko9o+I!zeid4C#UPDoj<@2fA zMv^srqs7TT!&+}LKV5HRFxWIJjhd32nXFb)f7+LvDOc}JeI=bNR%(sZQaV|HicCEh zP3EfkTIz>aCvzZ3eKM8Im8&)Mq1+_?S(hx0jTf}x3Zqf}w^o06%) zE2;jQ(|`HCn3DeV=ZVber6)e3GTMn$E{BFdmDEH$qoyaTrE>ba_|Nt*#xT$}Pz0HK zuADkId-42vq%gAK*TJFY;L*XM;lUxicC9^7s6#uZw^Sv?UWlF1@kADf+VTdp`^wzfXhV-gLHWKE0F zrc{dM8x@maOHb;vJ*kf!5gNnN&qtN?Xa5{iz6GNGOv%U}BlM3b6LTb2shL1K(~6q9 zZ!?OO)HZ1=51{51oyY=qS&{VTh`av8bQYS{W4N_c>VwY|hjaO|G?^)o zX{3uvCXmu(W_-J#j*Ht;-%#JR1L_V6Pg1s+1q*62>7lc;0*wbru02Vg`Dy?MVvlNybDH_ZqlQAW3@E()~VY9uR5F5$_0E5Eeg%CfL)bMYSHH1#8*^ zsc&eheHvySso&62uk=FS(GElV(n$^9nR>a@+n1Ta;8bfuy$vc>8Uqyneb`J-l%Tz{ zlg(^7J5^CKB{I-q>S(4KBspXJ2sAU` zgUScX?ms*hxM7a{OBAyWjoL z%lY?Q&C^_IQ6XEySB-29D;Csm)tjR@Hq9%QvOJZRR|@Sv0}%||Y}u%Jwu*~9TWf`E9irJfBxY;K%+?Uk){vB~LsGVe%xsC|Vzv%R z*#bGumPBr4>yVT!LTzMArsmn2oa5QrA!O??&DLQdTO%VZTO%W8wnj#zY#kP}1#((j z61kYI!(z4wIc}$LbG*5%+?VzTSs`dj!4-$ zB4z7{nJtlA%+?VpTOg;|lE|%W9g(s{sEusN)I3|GC$DDv-$%5gw&#Q6t4vLJlxFLw zn60B`wvO^_9W}C5O)+ZK>RKa58~LdQ>C_@Me&Txbs8k3?%|Z~##X>kL6#~d$f+ z$Fp^tkgY7uR#wc`Ayz_%4w)r%=#bR-vr@JWnb{J_#cXAzY=N9+OCq2<$wsJzYj++&KoLBsDTJh#IASY%E5;j+@yM$;E8trEGzm zW=kTsvXz&zMW~Hz$<#bsw2KXt62{d2+Un7S_7Jx4SF4+_;cO&sG83E4#1@)R)jgQp z8n&R9X(FnA2oql8mr?Uo)=bRdtEUtn``ap=-?IJfwPeqDLK`^fXIow+8}i1kd~&K< zZzuy>CTr7E>cXQhV3L^~pMoyHpUU$jsVxnhh{1-5jZI?1(vNM>u!j{@wM07E|L@kT z3hZsl^LT8>zxZb`ogzl2v@-g?v5A62TKO@|?5PE8AcgwPV`bHtx-Ur{dNG#DOxE#R zW}=+WVQE&GDJYpjeY}Rv(>!@%U%QTUjid{e8lE##g|dmJ$c?D4TJ!?F{6lvq_;5bPS8P8$kIbu8y8PCJU^N8_0YCMk_ z&*R3EhzA@9Q>YZ~G16FC7Ap4^mCSu)tA{*|x5b(=ush$VksY^2ZBkzo$>gh3#b%>g ztCp+d_bXZwMN^x^M*7&ep3fxpjggG8p$E#LK7&H&#w_s zSUYB5i3G1g9lzj@WDWo6PhV1p^?Xk>gFj$StXHR}^2KHermae?K7{pMrdF|juNS9g zO62`yqX=s=QjPm-#pYD4GU1DmY?(DrRtnh7^Wr&t19*T;?+50=ZR`8-%Ir{eQqxtw~>gXMCnJr9=4 zsr5WqE~nD-V0mmAb)E;y>#b&qDi~bGY`RT@2+!rzKVCeSQvrGLTuu$-#dA4TkQdM8 z)InZ6ms1IO@mx+VeG3cS;8=!}VZ-5>ez5#k@_y*{q;Txcb zhHro#8omK~Xm~mGkn414W?6S=JXkJg?;K4!H=^?QRhz^CxHwgB=HMf9@`ekroVuc2 z2~3Tl1eQ}N`x4+rR)J9h%UK0uZ5m;rG}A#HSX-Wcc4{nY-JckKYr+Cv7BT$RN7rJM zT()U))A^IlTou-uA-E&?$yXN})z~tx!8mb^?3N>fYO1DJcj)qN`BZjtoa~8@RgGP9 zW0vN*o9_04mY(>=4oUXh#P@uI-s8m~W5-PVLO{g4&P_(L?DY7Z4Q*a{Gj-SR%;8D= z41$+u>a^90zYF4t3ZG1PMKXLYz81!V7F4vuwk%|;DH$!v24=mb4Xx#C(qN_#%0}7> zvA5KT5w0*cl^m-TVCzba)hhUf{6Q=*dBY}ye@Fw%52OmUsWI4RYPCV6D=a(epy>Gl1S1564y<3kEOljNa~tx4!3 zu(6%GG<$IN-2B{y%Lgx=nm^Z^eNxFxWlQDeOtsv|qKlV{GsSWf(Fdi;Y+2Ed%HJ@v zTgz{&2nciKbv^(uk8cfLyJZ=Td_8#)=GQ9A4We81!!nVS5s5fIbw6US%1r{@KN@Gn z3GZMOC!R_2(2uG5VNBzSa9)NhF|3-3t|R;`t$gBkB^Ak}{zoSDuNnMA%;e%v{iU@8 zFj<(X)b#8?M29jw)O+u;Yx1mi{NGK+K zGlQ^660-*)lKValHfDs#k^j@;`t9Dtr1BWGK@aLuuZN5kC<$L8f>6=-c{7ECT0+sH zFaxWKev3CDkxGu-fH+M`riBcPzGbi|eaIjY zr}aHthRybJ7-}vKu`)nqGfB7F%YaiEynPa;^@(^Slbfz*r*csIu^ug&Ddlq*D=S$; ziRQ}n8eFg0kM(kPrr0dzN<)aId;gCrsb>s+fjQjuf0RG~m;tC*kP9of&KlGOF2(Nb{ZeKC=N%*K@-M2HhKAJvXH2)%&-a zU!sQ`A>E>sCp~+n+JNAD60&X}^A5~!$izcUk3O*(UA@QmXU0yAn*QWh4|Mh!+4xY? zOI4kI1~n@Sx!YYx`qg>F4G|f4Mlxg7YNLK{seu?j;vaKm@$|@Gb8&9AdG^fWEIO6Y z^l5{#C>GDn%`F)1&S+77DbxC1-binvP1y}o)o9z@bpQiPQQ4F2e4Zp{l%ylZZ*{70GEy%sz6q zdEw%$hbRJid-AkC$c5QUh4DtG;_T-iY_}?gwwm*&7U4!@m5OiDw5XA(7*?wgQCo<> z>+sZ-C)UED@Zf$<)VUT8~K0i=j!HCMiD*OT$JQLzB@x1njodXE*NI}^B`fv;Q?V~xnm=!9_M3TQ z-@=<1biYAjsdiOtioD@E>5MimwA$9BE>kK)s~XHD?e3z5Jtz$c99r0$SnBRnTtN#P zl1K{P(Sch~r}cxpboV$kyo^m1nm|uwk(99f1)0BgMxWM)xI8zzD0vy5O5{4Evi2b= zWFn1!TB+16CF_FQLJHbQNjs4=y{iPP8;Y@>t)IsDqOBQ%M?WMe9y z!47Xj(I+v;nd1ZB^Nj{dauXwx`CfK-d<{M?4L1i1RvZ+|+-s;rJ>{N9cZu1!o znnT9!fh5GqWLy+tT_n>eS1NRqp*Nx3M)nYlH87i@tffkuY^Vt9Pt54q`wdi$Nbe05 z*_I^T|NI`~jIMzcj)^LxobgPOhyLzeYF`G6AshpQJsA8_#1i2|Pcl=kO(~g^m!7&< zpFj8b+@(Q`{QByiOr=`Dil3Z_M*h>+^IwL~kh3jPS8)^>j`~{5 z8^|8jj&;1Vr!7z6pLn>3_Vn^_lXi549?62vt?JoZQ>?=mNR1D~(UnP!Z`X@j9O}7N zoGcV4^Y@b-_C~feS)5Yz9b}Wxd?9NPqBgL~+S!T%d_d9?gp`nuRkk;q5z^2=)P
Q*9RWbi4Cq} z>4+`}Bpp{K9@0sQeuLE_q3Ex!!qJaZ(U;?1iavw})iHP{?V)4vPO7tG@J?!@Y}E!7 z`(GYNJ^T+;v3JbX7*RcDiT2*zG5G7FGEQQ}Wvt%w`I4o?Bl^j7FPqI5hZJ&7=u2~` zBy7g(Cp^21O$}PBgiK+0j#QJaPl*JGe(%x8JfXMB`X65!qmBu@#p|^JTT1f0V9EKd z{W$y8$V*H=7V((owUZQ9VQUl?=ZCE&sR)wX3YS48^|jklpM1fPCVt(enS_s&QTK@H zzx>F>OXq0=z{XiT$toW{I;i|~e{UQMIjujfeCNC0B_?rSnI}yuQyeies`d2e&(Phw zd~NCh97<4|nn;p|e)4nz8*@_=&HBB~da=4PJi)@R5jWkgHh&_~Gbos(yUob2|*mQR9bWKDOj~nJz_Y9=r|hv zHZ_%rrS`(UqxZlAvKNQ^+?mLfON|Ds8s?XaMq76K_Sa&mJIrsf&8hE*pgA}5+57}7 z9tPKQ@DdVvp0taK;lih^cSKY5cAeI>pP1^=3wzh$j4Qadx`7=X!@SDl9ZnEd zb@&mweRm8S46rwOyoBi{>iH7WxtQlmEGpujFL78`!t*80>+11*i6gs`o-dC(#uV`t{mjy-3c)o;^?DKp%ra;Yj6nq|8>GynztgQBYnI*@ndBGx| zYdl{fp9A_h=KN88f6TS9R2A=w|bDGU9H3GG%lRbda$s-iR=1_ zmV8GUA2yaPi8LbbClzwfsrsHam0lhLnoND&PGB}5>*_bF!Rj?P~ z*1(S+$4WpV#3qj`A-sigxElfrvJ}vR3}pxtHaMGfUW`$mus7c#h=?5^SI%feun&XM zh_5hm<3WiQ1ye4V<3RbAQlfRi=!)D-jkTi7qDF~zG@|MmT!EDOl9uX2G?A*0_r%e= zH%BwG3s)X*UYc9Hd})66^8CdM%~`VeK}mVPgR=4bPRqA?S=Vt1h>H%(H2-rt@cT$`=ndr zlWxE#-CCb?>wMC!_oT}jo6D^=J0=* z!PZo4OjHpTgultrv|S%}dyOCrJoDb@_#nX_C(8cCm!hivj+dguZY5-9oE%iEKl5TV zEoc={TsSs95HmFq#=zV348{QBgtJQJ`WE3^Mqm`vzuCeG#L8l%BJ-BC5kN~7v+yFO z?RS5HAxn2UqMtvrxQJmEaWqDR5%k`6?z0G|M-t&D`z%IC;Gc`r=#~m3z?94bBb4gGdW-?v{_y&6|+}3)2VFlNd>7G67OEixsZeN`#-d92+ z-O8H@;vIN9V$DSLXhl}|s52PO)>Ktp=N$#^!VMfHtzgz~&(twz*|6ge5Uv{sqFKHJ|?UFWqEB1iE6C9dg~_l*_9Av~n1?tYPBq3dY(1ZT*A( zTR#jiP$zxF@PxCe6&48UzlEKK+=}IRv1Gmo(gaogZd%t=_+h`o6CY=+ zJMH4XUv7~Oy`}2?Btp8>XtLR($|j)YDlAWYMu|zOIRWLr6%svMqZ>15Ottuo)k;f% z{JprB?A-g5E5FuuRGYCaYZ_W#|=iV~N zIPd22ZL$H*EzX)m-cYBXx;$r6dCNwr<}X~P)`M21LS!?8@mtDf61A4iq-rhOP*adi zXp<^A?|-FDLKm&HNk9F?Y;B>p$q7qOLTj!xN}Oi$`e>BWQ4dllv=k*;gm;637N@Ne zRNi1jL36TO`H%oRr^J(zaa~E8qR=p-D-DwzvlCv!^sX;!1aDXQbZ~B4`JAkE=cSwhyd3S`1LEw=6<&DB8ZU6!`VT1)1yj=FB$7P;=Y zVT#DRm6>yT6tc%onRyINq(wV1r{F*-2y?do;Z?{_@69rngI5KeHM(Crbe7#0zf$wvWDlLx=)oEdfwPzKTFe zJUtMK1WM&|Nv!7u31B4WgD9N3r9o7v1m$P`YV|Tn5c4O&r}v-fUnU9S{v=R->#M0{ zk|5zvf-nB=Q5@A7+N4@+2R;5I82$Jk<9N+wks#?$f~7zFMsk@X==CRo@>l;7UnU7O ze-eD`^P87Tf|NfAlyB{ZS8{0Wpwz=h1sI=%5!_QF!4)i&(G3O!So+1$4ZFAWN74LG ze>AsW*#L$g-}MD`P}(Vs>7zIVgpY9fxV0-Y9&-%Al|s#S&Ps(GSu!!!tQ0FaBQ~$} zE&cR~O~i+UCv2ydI5BMqZbx<{f9arwCkU+SAqc#AF+v4qiO>W#@yIbNW))y(Hh2;L z`=9s+V$14VVu{csHt|G>qhUzA=9SM;iCH2viA_9F;#e3GzxcIZqY|@3XcC)vqQvnq zBu=gU87eVLgeI|xCrX?ML*gHL!#kCLqDDgn35`W^K4u>kSi6=_DHdKiRH*F17ViQl4cwMLxefQQI&6%_&w|+4q*O8y<+}1r2ZPcHK{*L_s%%D(JQMeIYDC36v=4 z#!v;_{_DRHmY@Vm6m(My1WFWia~OiMp*^i^$h;Vqpae=3^rkQb zWur}6`QgJ)ge53}5(V87hM-IVrj`CTHNz5=K#78G4OP&CkKP}apae=3bX%x`PF|{q zB`ARs1>GL1py$885|*F@N)&WQsDi%h`SGv>B~YTEJ3|%p7hcMSB`ARs1>F^@puhX$ zcZMY>ff5DX9jc&zf9-Hsf)XfE&^@6F3VTObf)XfE(7mAwdh@4l2}@7{B?@|TsDh4t zW>;8(5-3s7eW40E_Xk_T5|luRg5DCUptzkUEI|oWp4=(OmLmK0oZty`#JO~6yAr`# zsSQM1t0N#>jIG<;jIG9xc4OFmOlCW0Ggd4!qlo@Ksmo;?pb>O>vXW?h5FUOOU}imt}K3&*m($$w~_p zatx7~OCI)#&un!RkKlw2y|9YQj=#qGdQ9QFDhxEx*FvU!#EKc=US|K{F=aWeMXXpU zCd62Im3M{*e(;+p`=xKHu3GPfe^$k)a4Hp#796`>2n(;QPnq4tS6Fz&D=a*!4Rz!|?B6X} za0IeBFiU>U-X68wgR!Nb zndJ!&E zTkyTNxa|IC5V*(0TIWPmZpvJ@qXI(fzwVhbE2&k#^hLvO&-T_6uhRZ)oAF5N2sXB% zO`s*&raYv{%A>S6FpFt(K<;kV^7@O9x5srv#IOp*p9}FyTt|8(t|OXWiR*}_SK>Ml z=Cu;nkzR@Gh%RGXN78Mp(fHAvH?UqA zREM$I#v?9xLIlMQWp|*CaEqcPDP}-jqB=q%MAT@vsE)7&5jEB=sw1>OM2&Zg>Tv%T zQ4`&wI$ZQc)Shlp9d7g@YO-5YhpW4Y+S@Ja|N50Yb)aVnTtUNo7Eb!=vb{d>|K3Vb zSwcchb&LA{^$bu{mXJ`>-J)I`{8!?A*0;nG5^APf)UV$66^hCd5^7(!sAr%4eTvEw z66&gMQNR52pP;BLA))qni`x9$2Pi5_NT{p3Mg8KppQETOA))HsqTajfJVj**33W}k zsDE^Fnxe9Vgo;zqyBlGum)}59Swccx+b!zveP9noWeEv&UAL&?|1m>RSptW&TH0m3 z{zhxu9`QSFrJE%}7E<>@u{O7K33hb-+tUgjl4M)E1e@9MY+AuX0^8Ol z*p*w~npW_Tz_xb@2G2z5=_r=jjxNDIe)nT(1rJHGon3-`uJo3)f`Sdh9MsYZ@lq4PZtD^ZPGq=o z5T0Zr*zH|{!O<(N5N|IL>_C@baK=h2#3M@tJJ=-{9H!C=@tP9B2D=1KEe&_Fa8M(>+kpzcfbXtn z4h@jTF~)RrfqNkt2eH2n4C|LJUN&5vuvKyWjF1z!mzW+U9-8&e+p1<-P=i|VUJcec z?_8So=z4ZK+}oVZo7@_ZE%1(m1~9R+f2HSyXSz45tf$OL3})}(^UZ1RYNSV z55V`L23c_qs5a{NmKym9!_Cs>Orz@8|M2{=V#d_=#-WeCoddYOx>1Kd3Ig1qLmveR zZjf_;D8Y?6^ihyt84csD4t*3PSjGbnTOIl+NU)4Y?e(-cYR{5j8BMZrHO|ovFU7KXx!MZfbx`TCTl642`(j@B+)}=|-6|7s6 ztUFkjCRul|E={uTU|pJI-NCvv$-0AeX_9pZ>(V6a4%Vef)*Y-%ldL;fmnKkihXN!A^#OOvcSSeGVQcd#x^vhHAAnq=LL zL$2GgWyjA^XUV}K!!(wgJwN>1FT2dID#g$@^U3>41VNw|J z%pwMCT8|qopGx7ULwa>t>udv$j%o!>=Z|b)MpcIulJ*IY<8Lhe!0#LO;ANJ)N)CJx zGrUU5uu9_9#n-FNdSNA|dRB{Bq)|_ZA@i7!b~PJyJ>Ud~#7JlRSlYsZJ+}w2J}v(7|>mgst91M zK6z`4<9l*@SDVpko@1#)^J{*mI9kiWL@dzOkk*)sKp&x{pnLO)m`jaHw_>@{@+poc zTC^ObX72=d`6we_^CIbLEC)83m2ojr9po<6vKE9QOZl#a){xdjMQaTy_Q90PVM*qx z3F0wEh7*F#c&F+oZkF0Eu9;e`neA0^p62oT9BxWhnRpLyZ1}=rr``DVJv1|Mp3~*l z^juHS(hF}++q_XG`Vv;#8|LH6zF2E56itu*<15<`2|UO@*(-j+Ru$GjstEi50dhdtVb(YiiAJ}qAKM0&taz28hi#d&UmebGbYdXtm`wwh6r5`Lq zPGg4%n}mvO+k=%Klg_e znI$CTEkTe^|LA|D$SfftZw-Qc^&_96$SfftZwrF_7oYwpMP>;Jd3zA#oBsG^ip&xc z@{Snkaq?_{?Y&ac8bgr67sGf$X{6f0~DDhB;?&ekO%f&qR1>EA@2!- zJaxw-6qzL?#%JgqiWR{SS4+TN~=KRMgGD}Fvhl3yw|G>{uWR{SSj|4$pc<)b8 zWR{SSj|M^h#Sgzoky%1QJ{AP|Yrpm^MP>;J`FIfI{r}Gs6qzL?n zknaqF{Nex6q{u8GA>S1Q`75agMP>;J`3*skcW$4c$SfftzcC2%!-wvo$Sfft-yH<` zrzQp|GD}Fvqd}0r^w4gK%n}lEHVE>j$2L%8mXMHhL6GnJ!8Ao?2?;qL1o{0xrch*- zkdO;OklAg~eF{rR$i*PY`fvT6aiXTe5)$%Q5aikyzC@8(LP8!7g8ZI;_$)3 z2=ecL=M{>~5)yJL2(q^3QxuscB;*r8kSA{bC`D!o3Hf9Yi+;sZet_r2xoX$21n@xdU7kG$=#(+VCE;zL0Yuf6XJX$21n@%w@x{`JrP zZd$=ZLVQyY#O=TS8)*d(39%Uj@lBuo<+Or_g!pg}#1DMs!)XN%3Gq}A#Q*ZGA5SZI zNQkF{Ao5eT#Xd6|1d)egi->1}Ao37u5pgaEA`g8Q5g!SH$U~Mz#Ir#Vd8o07_-GJB z9wICv&Idu{p}ivFn}Ze0TJ|UcQd_lj% zI_LOcCj*zebJ{t#gSU(yZNB^3Id<*(=JCTkEO&Ghu3g{gdI529gC<B;KJp8xoa;2kKf>$m*&jqG^?ROk52b$oSvizCAywN-D76`O%>#TQ{^E@ML~gEx zQj4)vEJ)2P=#@}vztLfl4noc%;tj20>2u#}v;5)6>nk?SJJ{%T8L+exO3nH)4Pajh zrRJfb9Vj#*KvoQSb_6`Egi>c4MR}Ur=2q~PQ0n|ig`AM!5_Zis|4Jw|IRy&*E zxK3Hn_(~`>*}^t=huK($V^Q9D#1Tq8YMFo)e>?MTCsgtXYDE_Sag>c8ld?M?i=0*@ z6J8_;B8!-o5u-s6S){a#7z={PBBW)+co0Mu87(6wf*`VpXc@652qKGwmJyRd5LpDY zjMy6lkwresh*}Ut7V#`2rh*`{NM{)_9R!g@ILnBcAc!ooSw`#&g2*D8WyDoM5LqO% zjMyIpkwq}eh^vDjvdCo_Q4fO1B9>*uH9-(rq_T`S5CoA$D9eazgCMfVWEpW?5JVP{ zEF-QDg2*C~WyB3Z5LpDWjJPofB8xnh5jO=vWD&~cF z4T8ubePzVkf*`U8Um5ZCAc!ooS4KP#1d&Db%7_PpAhJka8F4TOB8%Xa5r={xvdCQ- zaX1Jfi`bPBM}i=-NL?B6P!L2Gp(`UE4uZ%cb7jOMK@eF)u8epz2qKHbl@X5xL1YoQ zGUD+dh%E9}M!X{kB8#|{5$_Cw$RcfJ#JhqZvItul@eM%`S!At@_{JcJETUFMygLXY zi=>qiM}r`;2wE928w8O>&dP|nAc!ntRz}PRL1dA#GGZYJB8!lf5sN_(S!Aq?I2Htv zMa0U8<3SKvB&>`$5d@J%z{-fFAc!pTRYp7!1d&C&%7`a}AhJkT8L=D$kwv)5h?O9S zEV5NboD718$kBQ}B{ zvItcfaXJVhi%gXfXM!NIh*TNz-XMr95>-aLF9;%wKvndz5Xf`C{zfa1XT&Rz$IV=5 z1fR-a4+H>1+^G!qU;r?LoXTJi1pq^|sSNgg0l*MwDucZ#02pFSWw2%dFoc)NU=IfX zLu9E8b}9fEf=Xqu(*eK`R4Rkb1^`1msSI`|02o3^Ww5ybV2C1>!5#?!h5%9->}&uq z#E!~fj|Kok*r*IP9{>yyqcYf=1Ark|R0exX05HUf%3$XLfFVRw20I@B4AG&u*hLsn z{6&Vk4Jfk9yy-#Hab)N_YtiweJk0QgD#8q(t-6O9zOy2S8TLqFhT|LgU6{C-ppW0! z>gy`ZsFrrW3NxndcYhIqv(PU2o)c}j=K!c%r7o9`Bh@Z<&F7r zm3Y*zr9QU?f#q^50W3WFRP)l@BWLF>G#4*kx%?=(Xm+wU!7g6JwTKJ~q7e685lVGl zlqHFx>O1A^z}MH79UFv07}dv}byCbLkIKDak-FJcG4bXMRi8}6&G1}>+%vh%1)A;Q z?9}Vip2k0K-koNK4d-vstt?Ln{%ChOCYWBgnG{O(S~+_^y*`S%7@~8qvfCKR7%dGA z(r8d-@-eAob5~D!8F!*Jm-h9rVSuieRwp}7D$@2K(Qx|3#m2_jXM0IHqvRh(H zS0+0NMNH|oPl$$HUBnJM(F6Gx!lxPv&4GvnIwn}?IyaYxRG$#|ncWq@%$+)g0O;Ko=xcX~F zTZY*%TUS0EcH31xr}74~#&CeOuKYo3plr$5YMgCm7|W(Ht3tz!wV36YGc{z#yM(N1 z709If(WPD_si2l+X;4b2-psApTFWBm)3ug4>AJ34%g1%c4O2wk^H_6Ek8+U~w2nzX z2wI>#dfJ$kf~X*9xno_eQ?P;I3}-ce6T?|u4@uHTFPN()x{iwKqe*iu320%i8C_vUK0aTH%B2W@f4}>CtQu!QixD_Rc z`jh~-9WRpvF@F+#djFaJWs)H7PXcm>?J`M_@F&3+fA^>siUf4`L2L&-{v;Uv_#gK! zlLSeB5|GH^Ws;!Rp9CbzbeSa3{7LY!&u?BX2~z$fP`7AFwJS3oa}2?ig8fS2 z%49X)oEU3Xij^jkO5f5?pV(wvMr0=RsxYeOT`+A3(gpU$jm2pNmK+W&3XD+O-~w-6 zj8K7DA~bJ_-QDTHT2bVat_GhTXED@T-CY~s9A`FRt=ne0r60<~T5}SCU z#0b?6UdGW!7OBK65t_s%o+vRw!GlZuw)Z?pC1#1xBsTFxi4kfZSYpL-r%^})hzYEV z5+js7M2XECjlz=H#1kb>g(|UmpHWy6n|Pwc2*nSvjLqAO!jjm;6D3Bde~1#BcNv8x zv56;2jH3iXl-RtGXNo?YY65}X@5G6KmF$zm!6HkaVM%P_i4x-|jSwX^Z!QW;ViRvd<7Rs)x;YF% z+0dR=;D;Voi4rJL(3`>#l#MoN1#anK2}+F^@pa|LsOHcwO3c5Q~LI3{R;jjcHP@4Mf}3hkGp4HaBCd@uS_?x{cnF_x3ME#*gt>sOP^JQT3<47;(KQ@9lpU zNr^Y*C8b!X%2Prt)Z`mBWQvoG;*_EnQB<``DL*q+9G|J;GwocY4R4GmnBr<4tQnp^hk5z()@XXhhVqn+yxTm zCi%oNx+V!hn|%+R1lxAxI8RE#-|wxoHDgp;-G)|LWLb*Wdm_CXrm)l#Df-QQLZc0{xHtNLxc z^CO(pPG%?`-uV%x>ljInyN@Ks5RhJ~>WZrMxQ|M{v5ZkEy|ne9Hx}8Fl1I2>u5uaU zWP0g*#v4jkO&=a^sB2HJ#q^3N zcQn1?$sJ9vcyc4KW5ts@z2eCoeYJXWBUZ`sGhXrJCNAA=_q*C|0x{R~6;E#N-oN6> zJ*2Q!vEs?iTg8eeH*Xayp4?`uFuj5L%tToFnB$2aS1X>}Y2xS~S{bi+ay#3x>3JQN zAD)RPw&N8~?vD~PRcP&a#gp6Fj!n<&u-dVSC${4iPwq;n?RdqL+u4py&+D+-v56

z;MrT^_-}a>CV( zyBJT1UfjKs7q`t%%siUXIMA!r%?XAxdH=+wTCp}bkg8W8$Td9kN%GJ~H{x8WUYj(P z%Jd*^8NpFy`D&$BorJ$QO5$)d!}&;#g2ZF<(Q_9UhnkOHygb)DfBs7I^6VAE|5w$I z@UNspD5>+N$5-$%npowOX$S{8_Q^7hC%9|7q92rRtiZ{lHp$K|Txl*6@o*gnjPZgW zlQ$`Kj4WAvRHyH;kPXg)e207t$};WnW<+GtBK>1~X+>3Qq@t>|d_GAY`sD7Ip3`HQ zLUE>)FDjXbn)Td)T5%GEGMVhj6zYvE=JJZZE|O`KE0ytDsjBF`3GE3CCZzK0E{wYq zuCD&ah=Z)+BCWS_^u>#po0l%07cR|! zJ21P;V*~9H=gCz$)OVk04#~Tjzz~whjx^8DoxgAq7jU>cX`6(LGK~}$V$z&{e+Y4extAa54H-;1` zV;emRK_RDox2HrAD0)dKfH!nn0Ajq8K9=M+^B{YU*5qj6Aab;p5DF>9wUQ!hs2naT zl5|P7P_HTaa9kR~@i`yDk!II)8C{vBRno1w_K}oe{$?ACdfreA7)iuwg2-bmjcPYl znSEqpde`SjFnrXA`yzS`W2)?qzs=dW5Vl6+&BzCLTixK0BP7u45~Fc&e|%)VPNkzN@c*6h^D zdSPrzFOwsa<2KRB8_b_$-ksIzxGK>t3=<7V_#@i?o?na7^2!FROv;Y@ zpuez9jp<|0@~qK}O^?-)vHU|lQt{>Dag&!Zd!_oKnJt`{uA}T?a7`hTNXO6U*XtcW z$0J$<0lXHZ>OrKsW4iy0wZcg(0GJ5%B3TXH7PT}RH2qk$+Nj@KYUC#reRU*r<&i}r z8qv7-Pt|Yd#Hx-ZfJm&{h*91rj!3+4RPpAt#Y|YW#Eb=w$;+G&X~{G>z#Tvsh1n!) zpG4%+G>UpjU8CKIk2jv{Pr#0@N;(ah;$% z;o~GcAWt|Ao6ZSM5)#X4#gqvJAd_8wvbp%w`O_EAHC?YiBeOme@lrRW=EW$E(Vw7V zR!WMJMX0KJaTBjIRlc8u$l+c}C{M@S*_2oaUzVcz#9WEx1gu+mU9x4TiDfUSRsyyT@AlXSf>fJI|%^#jGSIB z&!5@3<2dCbtr$mr2vbo1x79Idc7x)>pIfcyC#~Bwx;+tIM1=}FZcU30IHpD3nZuX4 z^(kwT=%t0-ls3LSVxPUatdTH#8y>WFA`s;1cLQUXe#JsVeK8{oGBQ`hf^77O&BlVv zuZ(gas$Uu9I8k}~WS9H6gll^Hl4g<9T@~kmsQR6_@dF)v&kgyZ3%-rMzFIy`%a;lw`i^M@vhzyxolg}+`O*zr@|lf! z9(##q?$>sR`O+OXQBiD|Cxoq#b$&6ICwr4ID|_p^s9aml@_puZg>i|OsvqEbKq2>G z%M;keGqbb9%~J~tbo&sR0u~Zh*wU1bPNePRn5Ex7q~a@BNX#mdZnE?I47c*gpGCz9 zrq^c=e<+g1XJZ3W9`VbhbpbqCAX<}x!;VP-RB^sJ>^kAWNleDFLxe;4ez$N~z@*9b zLvZF6i>GV62Tn(f^2TvR7G6|8X65#F|G7mcA4{8CEarW0ck-s_zA#lWY%zg6|SIA0LCjkOr*u*XiD7++Q=uuuklSzgxSQXkv8mNj|cl7$9q!+gbNTh}sT zNa`d8vvJW*<(3^qTSu<&CHAXYbqb6BOf;=r{V^r=-M6K_yv?Ho+)G=Fx&KaTVZxHb zYbxdbu@>_z%BZK{ismY~f@6~>5-{9}_B^s_d!SyfzzQU7@`zI$Bh7bct(`Mt)5bJe z8JjldAIPy6BTTHqWRxUZK>9m>G}5C_ZnEWVI*%Km^x``29qDmvn^hr;S80>q(=TTk#-qkf>U1jEfc}9=h`Q%p*7#2#uR%yDbGgfEV_8YYr z``?bI)&Md;^SK{BWH2>WFjglMi?&sVEAOxsXR%U5apt#p7w2Tg9GGddc(t~EpA*wz z>Pc|*fnhp{oUpR@D(y5~W~}qZak9tOIHsq_#&|$moh3)BhB@&^*hUbC9K!nmR3QY& zuJ&%7**03NEH;1DF4STwR=&9kH<_Knz575LfL|H z9!*G&)nhfvY#0v90Ncan=QCRN2kl9%m#A4bAH10^Nr-m`amuhx?6Z9#oD@mF5QI2? zYVq>iC1$K}#}}zD&c3C2CD+_&D86krFRi3$*(`JGZyJArXlBfhE(>ZYPcSvpjms9FR?gw^TyzZdHd z6rr;=U(GGuRbbcVcO92EPP3+qNsMiK*e$!0 zz;RVjR>sur`V0`2v@sn@93nTWG}} zcU?G*O|C4Qu(>Q>ERT?c+xpG%)b|8Hnm72jfJyU)4n7m-4fw2!c>})kGZr~!6Q1+c zr2E3A#cY^&LFMiUquU? z*L1U8nWeehD-^6nyUx-^|Ij{5tLI=$Ew?aZl{3Q5w(EE>*j${0?OWK`7TTh$HzIgf6zThg>~- zuJ?8}IS;o}lf2X0+w45nCaJ2Aio4marAPa)ST}6q=JaC+11Gi1jGVP88_hVli*q|&NgVfDUI)Vlk%`?$k$GVTO(cB#-`hS zMQIz`q1}Wg&y=cfpA9{3Mdtj4w8>=nLyai7^XU4ez9e{&IunxOgu)KXqt!BKns+Fs}B10`^UTx<_^R9(LN4No*5|j(mB5Hf6u%N^*zq zKD~WgM_s@;>7!%+ks($5PB8>K!w96g)F=x{y< z6e=z4Z$3Yvl6KauY0Y)^4XrifoBoqKs4~5$K$Ph{1q>hLq35^bRFrMD-ibFjCTe19 zQW`&CJK@8}PCk@)9JHY51%70V5myKcqt zAUk1OS==}x?zM)b#(T1*jH4>f&Rt-x+L(^BJ)eyfkdvAFh%QHXu+kAc zt{wYujAZfL<9svK#a~XKdTjWVK;j zZW1Ae#>fUqn=k$3Ufwc6=3Z#9{+cf6P#li1Q9DzYtudiE_ztwoW}!ePmKvBkT6LVtq-Yq^!; z+12o&^H3jFi010l=iI=waskrkxms56dEOo=0)Yn)Ox0l0@~KL#mh3ll$xU_p=l3d8 z-9sU$?x7A5d@Z@~`RX6Q# zzErncSMyfgOc@}nH%N64EwAeCfA`O^v-$G1%6-bUeC@t`l05Y1el{A@-|?x4ZMPtw zt<)6#g>R|yiF}218EeUgVUP{f>*zo|d+Pk$rBlr(aeSN+dV$ox z8O7|vDIDp`Nu+6tNpi||{_qwq3w#VrqFEYy=DE%-u1%YTtm<1i0`|dd2xzX7YBpcX zQh-XDjha+qc0l8nWwB7~Bw~G9XRB>+)Ru9a#2(j!4peQAi<_*i1+?PJDgz`^l?tM< zwg`#>--Bbj2SdVIRw%}pqm-lRhY#HqAzMED3u#Pr`+}_&CjVuh*?P&@kg9$H$NRID zJT{c#)(HXGxMS6bK1i-1sE;+LC&}7aUiY!L>{XxgWcK&SRjoWBvQookwdV3iDJz!1 z5tuwzDCtnM^@|^Vk@8{*iB~jSUZp>KjPha$iB~LKUSCNyC@+?fc*Voz^|m)2p}bf^ z;*|)O*QUodP+lw{@#+be*Z=V|-zK+V_9-kO@k)lvi`}Z*rA zOGx?B!sYe+oi9^fEFtkqh0ANlg=Z-*mXLU*!{znsSLY}%mXLU5!sT`EAB|I9EFtmg z3zyeF$M;iSEFtk)6)vxLA4^kSETQKGJ>QS3FRbCK6bm$br4?M`X*?tztHb5-nVG-~Cux!9(J) zDO?`^RG&*Lct|`phsy(ZZl)D-@usM+ZVHzNF0@Q52f=o( ze+6u;e}iB<*Si8X*1JKlo$Ffx8|&L3*v|E=fQ|KR5NzlARlvsjH3+tIy((a1y&44D zxjq%Ju|5rg?Ocxv*jSGS!FH}c1#GN8gJ3(?n*ui0n?bN0>&u*g-LDq}>|@@`OBd}e zEGI?C)qx=S>QRK$13~h|q6oPr5F}q4ijV_=Ao)^Igj^d4lCS(k$aR4r`9e>GTptLM zuj@p}4S^u}GERit7zmQD+C<1rfgt%JO@!PW2$HYKM97;0LGmS-2)QK?BwulfkXr*m z@&%R%xh)VRUr&jU+XF%J<&y}xBM>BCEs2mj13~h|kqEgf5F}p< zjcqu`UYr^-53Vr_f}I8TvV@uuu0us8(U6&Nttv8s?|-N{;d-_#EF0puWyVspxq$T! z)mzynH8K@fi1H0B+=3u5JMN{`(Z*aCDQ>e3#9ju0)>Bki02~Gx~U-8U4*-yc-J93UV`| zF<4xrs*legz+AkQ164#qDfe$Qu6XeE%l1q2d$yodZBIWZuqQo7jjfK55bcl)?wEw)?{pY3|q~D@pXJSL{J-qC3 zH@5rY7|QDU`IGxut%kCeEKWN0>=>@)+PmItzAJhb&3?CgtaNKV`?Q+|wYTfHxe?iw zi5`{42yYcR%x)F(Qjx3NROE@h8_YJ=Rzh(@I+)9Ej~4AAD*#T6}G_+}qq(*vogoU7f9Q|M_V*$Q3O|!mXf|+wYi-O*pk& z3D+j>S!=ey*Q1uh4gne5>Adh@GE=JN8|7xL(j>n$^VP|*(s;8_8ZR}nxuv(WOBChlioKVuhqP_`>w2g<2a#}IfSab_ zlR=sP}j|hh|Tsn1_qSZ?P|cfahF+^hJ`(UA-AiX?~10u{Na_z&Je&{0M&>OBAXBX!|ZS6Nc{N;6^ z%v$B{;picLCN0wW=mun)AjS!Qmj8?+L$BvyP;U7!Mp!kl((LK7k|Ze7}SZKy1A6RUZa8As#euBF9$@;b4&C__>^MB zE}kK~Dw3IhL^whgJ6g77Ml6Eqlr-I9(<%BLJ!A_zR;*|4;qxc<-k8fgX&XzVi>G9~ z#rZQfV!_V9dlLmev$$Z;s;;^D}<<@%k*<=9}cwlNg(j9Pn> zCiTE~SgdbUAKx7Nz)e_091^!!gu%jt5#3`Mv_6uK_I3S;*xt(j*LJfr({sOs(}HjX zvf!qc^E~&?;;^H+wk@05=O>Y zLSlv+J3`Ib-oJYwZZKmBiCHXUX1}xk=VAskmXMgigE`ckUH!; zN13sN#H=S|W{xB8L~rwC$lm7sfFhAeZ^%q|jH$>(3z-RzIu)6uLT19_P(>!`keTqv zRFO$0WF|aTRbC zGvRTuB9noTnea$ik;&SSnQ(t|k;%G{neb>@k;(dyneg~pk;#UTned2Pk;%r8nQ)JN zk;$fznQ$L|k;&$enQ*Uuk;zRVGvR*zVno@Nup`RGJ}4~?u#OcXOt3l-Vm?~Nuero% z@I?Smh}c*S1j2UC{;gOQNCgC*vY!Z!kTWEn=pEVe*-^h?x$C z$tThxW+oUWpErw`eZesKG+D%46%3QlibYJEZYwnYjw5^dBv{16X|_Qy`J7k8#Obv` zF!>Z$#9R{$lh0^HOq@;|L{2_|6)|xdZ4gX8UllQN`fLzPK0OsNaoTJUOg$(V z5KKP#6ftp{Y!FO7*Ay{vdTbC(KD87vaawE;Og@toF>yL<5KKOC6hqIq1PeW1`c=u^ zrs^kG>}{u+&1tubbqEFC9J&&%LOy(?7WBJOH%!+X)3#GN<1 z3~r$3Mk-G1WpEp6nr1I=gBz}&;#b`GGPqeEAlq(5N~~KJXAte-dAGs?DUp>IZ-bky zguD%Iw5Vxah$d59yGgmN7~F8rQb%XybY*a3_0W;Q&HAPTgPZkH2L?CuqwoxFLp}~| z;45pM=+5BgkC$`F-Ic-3A2a6?Twvzk;O38+b4e~R^KWqT$IQ7z7nu1sxcOt|T(S$y z{2Sc-F>@~A1!n#YZvL1#mh|FgoPUFxA7;W4t|Alv1~-39_@ZBA;@{xrj|txe5SjQl zxcOtkw*^Ed{ta&anDBi9k%@nUn?ELe<3MEM-{9tt3ExQ&nfN!j`D4Pj7DOig4Q~FJ z@Vy3+iGPEeKPG(hL1g0J;O37B-;EHN_&2!uW5Ty5L?-?XZvL3?{R)wZe}kJpCVT@! zWa8i8=8p;A(GZ#VH@Nv@!nZg?CjJd>{+RGR50Qy~gPT7ld=o@u;@{xrj|txu5t;Zm zxcOtkw@E}M{ta&anDBj*?hI~zm>{S-B!ipVmBM@xz!TjW+}yF9vwy)pYZy8!Or;N}kQoUIGs{ta&K;Evh3xWVb$ z;O2%cOvXh_-v&2#Og{G(F?}1{+%fr7Tg3EjaC67xGiwpkx53RFlTV~YOy34KcT7HS z7BPJr+}ttwG+D&-ZE$nP5nh&MN1|XsO3QNxf^@E;5Ds;2{_-5N!+F`zhPj<+pA;iQKXo;ed70Jd zCr(S*m{mEdXNZ^W9qvPe`VJNd1C_&|hG`nzWQ8ewi z)q+^xfRRXqaAvd7P)?#DZ6K0y-umDP^C&gD0!C_l0w*DGx(~;d)f?GHksbGOgvU-P z`hH&e+g+N46x(HeruzGgTAPk?Zjja&JD;cM5WAyQ!BJv!8XuSRMN~hrrQgyQFe6Xa zvgUcfioPnUW)31`^WbA=78eoMxt*5<0zaKaX}j!1ATZ5-#u*r5rLJ*QY6}o}bx-6-6TIaARf zz48d`_Ib2ij0^!>^2qW)#?#w*Gj2y5Yb6ycR1Y;r%2f~@RBn|^E&`BxlBvXbfwe9f zYs%zavu3UhcQcn#8EjG)C-007a&NB|83mD9M;;j^`ok-u>;NwBCII+$88tKVIv#U6 zcfB&YKG8hZsM7YV1L+&Tu2<4WeqFDGkH~Oj%O38=;KP~6Q4RnweYecRGOcesj&hK` z=^%R+qYkoXenhgDJt58Vv2|Enu@rlc5A_!kFi)?h?*8h`g6%q&pC01--^_@%aVBjU*j@_pBW)a~t&$6&oaVzm($BK9?W80gsrt0pKdtU0?1J?S&eR3~;LA8{146r;- z^U1yV3Dr@;dLZh$9KW=o%ZR$qg*Z_OJ}_--d=i{Fg? zWRjdXdyvmh@Q0vHd5;vnF=hZqrT*2UPgpJG^=KCmb|`ooe*`DTUA)w;i;WKOBm2F( zm{23G@UQ1yHfG;lKg`>*;(C&@STELM!um?}^VQNFtGA=8SX=U_0=HwE?ab`G8W_UH zBkykVXXtVLe;c?~4G2?2zs}Ha%SL|HK{V9Zt?XD;XQ+l0=MD;s*A(=4c zM=|-mxWteMnR8~1-1y>hdmM7?cBvdGAXRzrnXz~-TNz3=CrX9PJ=kL$93C1`61Dnt zu8IF8o71I&nr!CtS!LkXW`3%!B&TxIW2-l5>!0rh!04Cozlieogc4N;ahJ?f$~E%- z!Dq$^?%|fWTD%qThV{z!Cs#d@Yro^gxO$5&NPG_g2 zG9Ec%Yi%0JxKOO=36*FB#-th(+TI(qJB+rs^mauVRYf0iU@~-V@kg5_@kX96Q zhmFcEBnFj-n)Td)@ltirY()dTO&BbdYg!5oH3^ZV+Hs1c`4wsO=PZ$)LF4ieDee>r zf+R}$A*sg<58BHHg-*&wi;%LpXPlRhTi0AdTQQ60hopv{sMkuzrP?jp8THy2K|^@z z07c03(-x!tcYMXD!huw-PVW54)u-|UTWj|qeYO8b>ZQUAZlsGS*9v3xp_dcnpW!5- z(U%UXDLvn`DSgs#aQ*g!x?l;xUG4onmLWtKp{RlNu`3IYk2IgSbZVhF*gP-nNwlUE zcQ1tD%Z@qNTs*>dG2Uq1I6mUIaXeS9*P3n~C~0N#Qa$xdLLZM=-{0x@K3l8R>&@C! zH7}nR!*J6|<#WmO(l@>x(X&=*NH%o49bM!)1>cDKk-UT{yO~z(u`O~u2pWMK&G;5&;@WG^B)~ zdxwF-b#JR7Wyts7Gt{)St=g)TL1@}6%xFtSpK-M7KX4nx6qV=%D^9C}>*^d}!Ed3~F4z&BYF2(k{E3ILV^D~yYHLyTq z*)SP-j^n~{!>`U|aEiMRWidKtSzkKGrq*8y%Dd%gV-@5A^H`tW_`VTvF%|ouL`qpkTX=|}~kae#A0R=`@Yd0^I z(00Hp$=GPKzu0NMKs6O;HK}@cE?Wy(mu+xj<@G%_IN7&CcVNUKTcP&f zce3A4y7aaquaVw%BPV%BjU9&T+S~3iJC(h+O_?t|dt32__cm5u-($VaZc(@gy~<@) zIA#2tBs*$)en!=+)3zawZ2TcQezWE|#vSL|4N9Bk2lExg{Ev(SQQi8o-NMECl1#*+ z;*LSPNvHMcH%bxt)0SHtm?l`Ck~y5j_5W}0TL9at&NPoCKjf=NY(;rLP#%yFAn3}@ z3qr8oQY^{Wl57XeAUladTpasiJ0xkL6)vx785*8LTRJsa!?M%IvQye&I#4n#?J$(- zFx@_wDQ#(CXPFM&(k|`HwrsQCf6l$S_gZoY8Pb{EjUiY6`Okk||M~y_{O8-`M>)vj*|x^H|Z1C!J@WuG=wt z>Tz{fQFoMtn*tlG2a=YI<)MN^Ld$INC;hvKx)x*a+PJ~{_J zCh%lvL;uek+8S$l>V~$)TAsS0t+AG;ZfJ$IG_7Qux}o*1Yd&je>3vys_&IB6u_yoJ zZ^*!_>Z?VNn)amCt}E(Z$XP>cOz@>9>1_<{z|@Mo63>}mS#ORRudD~Avdv056E(-T zN0{FQBz6m>x;kmls$I) zcaMH*?d2nf)O@xWx&4E;6CL^16y8-0+mJUR2Bx+|W9d@$jX7#kQ`@Lf+v?_nc>`0a zrb4t(5Gj{7(Mx@5M>3P^N-NPIel4TV3@XT$6S-d z@ zl^-O={-{W^G)kL4;3hKW=)|p-)%_@)rp+JP{tz4XLEx`S!feKtl{?oU(vEmrD`T5S zZFC!_{>Q2KoKEMn%-P|Lrb;FH$ct)zRUwQPZVk3GOHgJrL^UwAG#g1lb?P(^aXry| zk;h>Zn5F3hQEJ&~K9Ai>{rN)CpOQ>6kZ6(a<{On*WiewFCg5}3=tm6>-pN?2 z*ueB9RFUswdRhQ&w&ObHFLdC`I`9=8ct!`lssmrsfxpy&XLaDO0O)cuuRmMB1}I;% z4V!RU*+8YgTgg|L)H-mJhd>7l^cVBVz`)HHQ^Rmks=uk!oh$L5M1q+Z?k$0Usjk2N z6s2g*Hjz|9u2w|5Ww5Y z)Imn!=(47sLKe2y*uNOrW)vgR`Wh?(1*_^`ZR)2s$t$|%jRzPTtg=YoBL*{l(QGRm zlV_J9*{O_TqNof^$+P7&YBJiQN?r%ax?Zh;sYp}wG|Y2ii&2fbg1;N$THQH$KGn|5 zsf1ARL&A zHDoeTZH&~n_8EPa*lKFX65cm6ZPk9k#?p!%?HHKKtPrYbq?AKON?m+JOF$|6yOwI1 zMV7igVH4fV7CdpHS!m$kn>McDL}aJvp*d!oqs zLptzb9k@dW{y+y{2?X&+bl|8C+@%9|>%cuaaIX&Brvvxvz_)eaJ38=P9e7a({!Rz} zUI)IX125^o_jTY0I`Bgscv%Mqgo$z3uF`?u(}AmXpriwXIxwUIy8%$|##*S)%o1AD zyBLNGOhRFH5lQ+K6qr`y7 zq(o#<;8_$|^vlgk*2@V*U zt(=`%6q`zoXlsp-M_$RMN>L@BFjHCh+?S5UyS4UnnEBS23jxl;LygADXrk$lnOR+r zELKRDlyEMdL2-q+sXt~&3jC>i;yLuUGmZ}se2Kf8BnRdxes(EdcodpFh)d_<1t#IR zSiJubK~t+d;l1PK(Xq|D@JWXq$HI7B9w-&^?S?E3j}EJ2E}sErjJ`zF0$}2OF7DXfaKF;qbNDJ;?** z1CgPLa(M6FalF*hFoogwmZ`<9{PP;(adhn9=p>4dOD)yzgO*1!kOcg0+ISe9B9`R~ zDV)OBI7+LE{B#hgve_>5ZWRj5|efof)3-d@52p1v*M zok_x8{aEwn*A8D4|Idp1#Nj{r`E#cxVKO>;mVntk*}ZCRY_uvW6s`t-$IR-UZ)l{@ zd{19_^xy>a0GtYYOq`03UNbyeo~4Pke7bYq)%*VIXZ|*Q*OR@SU;X6=zF3z}uN}X9 zd7JCy+?RfT$Et{QYsr^vYOY~aqo;3pZ+XnZDvr^ag{}RTFm?l4!kBnl!X3=FtVR+W zV0C^XX=o z!S-R@6M#|s_pNE2E%VU1>1;TEMM*d>r7XG**3sj0+Fcd9>t!1O0f%dsodDM8dO-jU zF6Q7gI)EsFQFNeB2RfTvH*;d5Y2c}vU0ZeFF&$uyzOI$1kZvt_H8wO?N1f_ugioz( zUK++K_{RZSnev%PtpgMyVa+><^!SMn5b1eFuDU9b42fK676p2C+y^174uyR90+vS& zQhdM{Xr67!G=kO&2;TUBZv`i?6hSE|mQ{S`w$$o3BE-4~W$?7L3@(jErRTz>ooLu` zdbCO{I1+Id4L0$jH5C1VplODR&n9ZG61^;lXz-m))KMjRLlA9%Car1qM8}V)lNQT_ z!Wc+e>4Bz$H-bj5cQvC5WmK6VU&)_tEM{Xx@nt8zMWVqe+~BCOLN?z8@FXyd(<8`y zD-hBhUa}5+Al8RE{u!wMrilh68_j50PvsgslEWrRzMbkk^9~eRdcW(6`4`?5ZBHEi zFTcM37e9U;b_6=1S)Y>9-5<}>H+x0sg(uZtie$Q@T_qICzk}61e3LPPd)DY%)KuNP z=?P~tSinM~(Fq3L=280drEaWfVX4-aZICQSnHu@&9_I%56WsTNj|j&EwbV9;lKD1* zHYz3CWXa8D%FQ^Hrqtbs*#&%P=0V=|@Ni;J;P359>uT|s>kbQ8waleLG@R3&JYJ5@ zSIypt_+mZ-?-07Bx{B)C(+;v&Ig?|ku{^4tuQY4&<^`~RS6z^OkBwZk#!+iLBUYAmD<&!&? z;$0Uy*}&Som1Prlfn8XomF(WiAAbBN5OWZl&<<~9{xjdKK{t3SA9?+YHRwif#kJr+ z)S#QZmD?`+a1FZITXF20s6jiul@HuGKxll`f=z3f|`d6U)~EO~ppO!*GJZJ&kqdfD^8rA2ou^*k?2t@}(3y4A~`>AtT9-R5PT zhi|Mw`@HPQ>2eKvzL#zNtE@mTG8*sqvd4eEiO`T!6Mli01(&X@#V_=-Pe^&o6X$w9V>2$n+2Zyk`=eq%^rO95i4$)n=STy#EM()X7_G7WW}v;v(~Fi zR@_QA!_5X(+&TP1Eh{eIX1J}uid*Gocift^;#Rxar;diLxHWF}+{Z4p;?}wuZWXZN z&UG{Af4PMl|Fu`}!445$BF>CP8N-dJqo2I{-mrLCr+&_+Yy=YRfG z@SoSaGoMbbyXM-oX`A0P?8?f1P4TYHJSBFSrD0H>1lO$Blh{I^2 zkCU!8MB03r*+ZpXhtnA;GmS2@eY1I@yGp4-DVxk3B7Rt>)MUc#zBfRH{^CH<)8FhW`AjW2V^i%&|>w zcIkVjOtCA?vCVFF!zH_=&T7t!%(3|Jgfu-^jcqf>Vyq>-HDX=nSV?HB5$p6VH`R#f0VxEgU=4T{Z@x>sYzL*nJ(_PLRm@5msg`qy6T?36&-Bz?VPQw!dpKb zRo!XTCY#>Mccx0G=Fu**dW~4I;*$)h$|<;vPONuSdrx;6bxqi7iqoA(BhI7(RX0+P zjhMx$>QL5=t-6+VW2;VP-Po$TsmHD|ms@o_>&8}H(7LfzXVi!_sX)~&t*U@2vg)5! zBNtaW49$X#szzkWmzXU&mY}u13-2j|pE#As+z7Q2&u1?V9Gx0(4 zRu28}f|C%qyp{ji>^}*C+glk6zC{CvF|t^S@OUf#wCmNA5O}?nYi@e}Bn0!kl~?}L z<0m0#^;SyXe9%e|GB>l$TlwCvZ$1fu&s*tVbKoQd^Szb-+SNOoz!-!jzqisoc^MJF z{OY_8nFe8Lfw%JY2hTkj#X@f-`BhI1g~v}E#<y~vC8c~QN{pguvWMw$i}nRKig5&4M4`*M)?^~>LsvUh#=ufxCpbz9N*@kg5V z*M4)kU-~+w4|nM3GbSB(MtrcY**=(7+UYo9U9+7qJ+Cw1g(ZEhmXRE9R>TX{Q*pzb zSWh&$TK`6Fn6`4K>w(3UYSh>8j98N*V4fmAZmi0lNhq5w9#6K$p=J zz2<@Xtjj|$HJEhP<wr%g7yhM%9c6CHf@66G8+m+j> z8(SXu;wrzx5=&^Jm{VA3!ufXAmy?}8dj>DvjMtUe0r20iUpo8cWd9=z;nJF3#9%Fn z4!F1Au*6#PA`> zgEzn!tj(_n*~fgHh5X_kA7BzZuGLd|Kyb# zbd#68@U`#OpqstS`@OH%pq*Zp`PtJoXuKZ$kmK-i#2Gx9(>}@QZ;D2 z9{kl`Z?8e)^9V$Ubg#zuhyVfdfD`+|Evamj+g!5 z_-AU+0WVwr?T2d6tGw*Wf4r{-z1qtj_}{-@gI?oh-)Vb)4SKDY`Oh1#L7(eo`AhcI zpwGj*m)w;#=yhK9jorB#^m;FIABxnV&-b#OAKqMp-r!}oe{w?&`T{Te+UHi*pfB{Y zhG%^>=!?88@={X`dZU-oIm5G+`(iKqQp3+`&_OSIYw;^JXxYmyx#+t!=#ZBk4u8D{ z-R@;y=zY2d-Qi_#4u7r&y~)c$@BfcA=*?cH-u>|!^cFAs>?0qkL2vc4*T49|8uT_V z+weDsYS5Q>+5T6qtwCSvWsm%GsRq5>%U*RAYtWZ@+3G;52EC)Y!@;6ymwVYCrEt{z zEF0Td-S}Xk4tv?s>lW8gN2>cFEYwjiyZ@u&b5!$Qi&%A=goQfpWxg-JM(dXrbfUU@ z!h%kE*_}Ump@uqD-Be+rPJ5X&|IccucUJdWSg5t(-a zq_0`6{O+r6`mj*Q)fu^BFrzu5h!T^!?g`x{_}Wv7iRrY~KfdY(ZVc zw~JU%zvpH@{*TXDP*?K}BouPR$m zd--Cq1y$y2z!ua#zO-vWUBg#wEvWr`A=ZK#=Ig5#)O+~4sm;vQYx#nx#rqr4S2|7J z-vQmzGj07ErA5yOF16|F0*q&wu$V@6Q}t^sW7G4lR4i6U|?t6uakI>dfKV zU{up^`~^93hu3Iqg|xnEHuioP`$Fb?ovf9A8t#2!wtKH-^)CDOnT8gNZ;YdV1mWnR~_!nGyRZpW6Yc{%Cb9|TkvTKgHn%;o7?^5YB=WKLWpJjgL za98$y>R&Tw%g!-p)7x@(?q(U#&d%M=&fP2madz%@cJ5{oh_iFIvvW6#K%AYsRee|K z?A%S;KrQ`l=5F)(SG(j4&rK(nI;eG|KWmXp8QU4^6771`OnuM8DH-y z#Brb;KeS@5nFHTFj8ma&zI@{c6U?>U|1`dQ3!TpXl$r^FzJWhfdS{b?Q`;vz=a+Ax zf5%_Gg-&Jv%-JvB=KS(4R66_R+pN#fFg-f^H?hMAJ6!*LefgHy z=QS^FI%z2U=4b!lragc3?etT=$L>4leekpn9`)T}^t=FxHc3t}rzOw8OUr{K9qs4SzywtCl4jB=z&pb9y zZ!R0o;ar>;9dxv?`n{#;y_wCa1cS0Kv&dKPdtc+Ylu{y(^ZV#HK%Bb9P&@s5Muu}_ zv`>ENY=~9HWybExQ#~8Zd#D?2u4$Wz!sb5y*-O_OpJWaAKvA*z)>QMLGchi^bq%~D zeXn~D(R5m9unq0*->yX2I!%&jFne8Xv=-a1&=?5r+^sTEtk#{o5X~g2>8tQ?N zFYI)kb`RLK9>ANvbE*X~H@p5Z97R|C4uH1?-{Wc(!9hPp9I7$B@^0gbbCsk5r^e@T z*ba6J!cBi@KH)+ZoH#Kj^{G<6JL_;N(L}PX`(f9W()P;_9~cQ-Uml+r9vi)EoxCx) zE>IrbGqx9p@m;p=;N-rGwywK;hqPT88ZD3Hh7Oc>;5g+%Z!TGihqnf|bd+-4MV$1V zNX52aY|v=*vGT;8@nLlmS??$e9hf*cdQG4_M9BiVvB^FA0|k^aQV!tg#XxlIz=5IB zy@Bl5-g1EF6j(3gVDZDDP{-ClsAIRPp05>Q&Q{u<93MNV28Q=uwl2J95+?};ia1$t z^qO_3bZTsT_`PGJlS3mSY6oSw{o)$5nKChs1j^X>p8Y#EZ8p=IBFyxWp*`2uBRBA7 z>cWxHJ<7q6iSiD4t2uK6KbyL6ZTZj+OQngHW6h+Q^1jK)*!bS^xRuz1Ht02b*F;lS9hb$k6!kfo|)n|5;o}hOE zcB?o(e9bk`Voihuy(NZ|$47^DkCej)C&#p+cNE7DLgYYS8^u$YXcOZwl3d~9T-Jf0m=Ra9|@6bJ-^=uoO$Z6tMKF&^Dt-gB*}icvKyn&)1O^Tx%> zdtqoM%X^D)Wq8z_qF%H}$?ZH&s!+zu>d<((8)`U?!!%8a=c3R`zMfl$$)Rx={D~dH zy79_eBh1w4(c#Iyp^+M59bdO*NN}*cV+&`P7@dq-i#SuVRN-yfQ%g`Ub#0l|bb66h zLx46@{R`#m4r1&t?+64p1!P$YBocvOSPHan3nYSpO=0}c1Mvif+hqtrpuL>{xg*fN ziN{5Pfgn;MNhA^ow(Gc#1c#!)1$Er!$Sho9iz!7=%MY1XQv^diMQl?b7y@aaBNUM1 z9EJLXH{pLhU{p2{HPt*83T&gwb26%`NDcHB1J@x62?as|XU#jR7bI^&oAu^w;>@77 z0lld`5JF7|suF~D6SwI9t${sTIBPefa4V-ChLvY3IhnhsU3cHN|F+#TZE#y3YEbtNPP^_McCl6!|Av4V9-8*tJ3ctXZreS1 z+wQ@kf%&@+NzOrr#}FfzRyeMHC7q1gorIvAbfglkgG@$?vneA@N+ua~IEz~PY46?l z4c|r~y<(_`>)!Wh72A!9y=V9I{CmfTb~8>k$)7{J2j){EUO7B=n`@YYF|1QAPMNY> z%H3}%w;n@KE-{2k66F$Gv~p`WgQoQ-vuCsjzmm+?u+*R6EBokFyM4#e_S)0lR2j)``9~zV}^9m?ksr>k$)L_BlQmtrqe9$%<87tI5_D1R; zGaTuR;J%qQoZ`N%uy%H-p_)=r7bT?LMrly1kSYKLgd8{cp=!0`-HFnn{jL*^p~FMc z2{y#x-G_I(*a^1#eY+*cZdGcamZ{P&zD6hicU{%@5V8MM8;ckPDzgA)BE?LTWON`R=%ENX@%xig7PUcZ_a!T7`pv zjJuNj-7V2ntdHSxuyn$gb=qydloRIzr_z~NEZ&E6gNfclcaN09eTB*K^3Z`2UG@VU z-1$A2{Rg7(O2>x+dUSv;MhL{lF{dAAzDpYTI$OAy#x(}%7*3AHXO!_=iE=y-C6p*z zTt*VcwH10PB8Z#F(h0vSMuWvnG>VI~0HV2rHG=E`>49woehYkL)=BK*zJKZwsYVhzs()H=^_N-m+u97Db77ZL&wYI z1ALvPd*42c_&D~x;#RVHw18;Ft`xukBSz77RMwGjf{*Pij5x#?;g9pOiMm0vc^>k zR3T;P8e$p04T4UwzJ9HZHn=8$x#n-ACJ!*aL$T5-A0FjqA(NMg=5}R%}t*0=ELGvjS(=ACfVo} zLmJaj+;vGxrb#C*4dEDEY%1E1iSNj6xV*(NjY4$2mJu;P6Kf?pJ~XjEcJP3T3^NT4 z;!}e<9W%G;&Cz9$eC;b1et-|3YKxQ3`@-n7?fS>h`j-^7Hel`|yIYLw2#AMTYqG=R zLwklM=mrv$E;!L0&kE}oE34(vyGv9utYL2)h#8{ z-ByN}ZpRZBY58|Hnr^^KHApdWH&rLTbUjY@QMK6KKx^v)F5B(!mJ#K$ZPkHW0qFHz z*qzAHeNFz+bFDTO^M2~iQbl@QqP9~g8ILzmH7BXdV+~#V)&5ao+GLaohAsP&@POpNi`~r$n zpujUqrbxfvR05ruF$PknPlqX!CaCySz38yIW2$D&lmx&&a3_%${pr;2x zgaf@CV3oB^N(@Eu%7sw5K~(N`+rUjbTT!`?gLO9bL?d#b2YVa?Jz)h_|3=_{fZeMPEQYfq5^MIMlP;ISab z6beL*qcP-2ztJ2o8P!JZvLHd10Gk!8X$Na|AGb-54%~DeTF?&0tnMjx7eVFjDaO#u zPJd4^OXW*F#Y{+~>OiW!VCV=K8g53q4$jbgAw`Ac3rSu`zL&Q>pU7~mf*N!tdh$`Q zIUjAOM+Y$Oo_rXM$cG7C0Gsm#Ue$bz=$m?Q{Q`bh_vFDMJY-RWO{l>>)LR|6=^~WB z3FR+TvOI~Tgrij7CS3Ut3gFRBj}Cfl5{Wk>@qSdl)jn`jiiCBu1M-=NlpzBJejie= z#r-ic{7MjGs^(&dQ#b(erAL%v+)4uF;DJICeHnVB@W@iNa|$x#sS2@dK zCK?U~GEvg5Kq14WPJ*Y8V8z`KE%XynARX?bEM$5hDxE0WwdkV~3$GTlpxS+P5C zM_(`yFK{)@#3^Hcc!NUs1v-n}y|`f&vr=f5Y9nr`lAm-#m6}NzR9jCp1019mF%Prs`L|5 z4ORM757dN`5e^5lhLgY)KX3}TM z1Imhgp>0${Xr3yykdZ)QSP50?BK=y*7pg^kRBYjaN zC}|A2H<1>pl7qxsm6nsJ6Z0VDs^lf5pb9`ORB0(GhAMUSKz}&0kQ6^DRl1IpMU}1~ zZ4f^QRY-ZLdQcTkqVUQ>?~snfSe0;p9u=gJN}vSN2ULkPLX|#9B8;Yz5UbKL5@TdW zf{dn+jH`?U7#$7`02~XW6fVICK)ewFtx%;2(hF7kV-j#Ql;j=#L&8mTD3Fn2PP@%- zq=^g_W2AnR2D+z`>I@!R`MyD^lMSr49VDrnZn=kkqIv` zN+`+y;EhN>s16d0B}A%YP$jV*Jht}kd)@~fYhd^{yMX&27DRdh_iF`28q?uo(5c!; zl~ibH>mX^7%1Dh=><>Q&VTc>)uZt@FqC; zzCqe!{u@-7g6e)67*$3iqdH7OBVde!>V6s=RYs$uI!wbOV8Zh}ZXBf28?-!-e|&cS zPn+{cx6nw+^CuYNCeI%*jix+*f(dWRpO{KPXFQlGqSEsQ{avmsAyl$d#CJPL2o~I+ zp&q>^8u>%Ck}}{rN#;o<1BOJXdMD#-_zfs-ME^KJN0BPoKE&X1PeIqvgcw4qHnLBs zBCH~RqPhaZb0%(}q5VGRW!iL-gJh z`9Q35eTtl&lyG*MQqfo+&M+#ZbRKyvCs}m&x&c6kL6S zxVnH4TAc|-vIu0d2uPWrlE*KFi58N82empAq+SeyAsMPU z9uySJM|Lp;`rtj_XBQ344amKS06Z=vqDPz_eMvlGaH9x8a5p^^dZgjcQTcK5J&`ga-vRF3ZGaS#Vj_xGWQwW#Y0-T$YK;GI3cJT$YK; zGI3cZF3TF1WsS?S;Id3ymNhQRg3B^-Stc&a#ATVdEEAVy;<8L!mIaq(jmt7|Stc&a z8kc3_vP@i-H7?7&NV=mLyi}D-YzlV{6e0M2TqzOys#}F=xPNazfW1ZYJjku6KrV8Ym z!Zzg7ByM?P4j$Y!B{?M56m5)x4Y=3~j|}>nL^m3u-(3pt+{#P#Bpe4IZb-I==r@y$ z_e29p;mkL|k`pz#uv8SLpBP4E_^c=hV=qCd14dj5QjZ81Rwfsg5|RrmY$z5cVE6yf zA;Gy`iUxEzqB7>NX?o=7QJ@F63pBfUY$0<6Kni0kZ*nA+AXKE{#(1Gb1QE?5URcar zK@bH%v>8M)5jmYnIRhY<G)6bCG~Q(FGS;wz$yb4N~W*(mFDgs*S9KUDL{_p z56F2{!H`hZ=m$s#S!Pt53^Nh- z!GHCUWmctg$uLuqfss!^H!_NoE zI`bM}E!In)T{BdT;23IfRv{D9NwN=)?zL&Xnjvy_Z95emM;N)yAm@X!w^9AvAh6qsrv6nuxR zCceW|Q;~x2u+~H%_zr_je22*<=D=k0AHjE+ZQ?r&Ht`+yn%W1GM0|%);*^5#FxbQ# zm~8QbIMiS89fq4zlG34eg6}ZjJS6xI>rH%z{U*M{f)n3iz=`iL;XF^ycbIVEJM1?# zNAMj6oYzb69VVRkjxmt)Sa2ktC%$6 zQ!z68U>e3~VjVrn{HtWkPcpCK(fYJ!o;K}CyD+b+nk_QW+(my`bJ1;Z#0jaor*TCE znQWDeH_`k|IQkS+ZDhn%_aXd|(N`G{liBCFy>VPP_6`T5{D9UbY%VypwCz=MpPSJCiCIW!z2|SD~@OT2xVS4gBEE6`$A9E9H{@R31(03hz1Sjdn1UXg3-%FqQG<6IdPL43g}` ztX>{A=-W3L^!}*J)a3J}^XTQo?fCmB{s?Zv-)j63+>XB({*ExdvqfsiXNzG0Iss+` z*qTpdHLM??hFzXdgf(mcU`9oP2&E@r7o}D~9HF!X6euNtFfd`lgnNZqWYGf~dmLb^0tL?R|`Y27Z~49&DHl@^J2Gq2iCoUnECS_GD&hLq(lX~W}YaBB5 z*TB>Yter;8WpCSRbmzcS>b1fZg{+7VW11ffV&coIL}n3CMoHsx@<#6A(|v+?Bjs9t zu1j6SqyR4WW9@Bzscnih()c^V>LNYZ9X`1a8@x9rWX3aUUFUjsiA`kmmAYx_B25{E z!0Xsc(M)flUK^xV(SVGp0ZBB#y~y>1i|Q){>K0HJ>B+Q5M-Jkp{P@OLNYr0lZ__abO9(L@FoxAh<>JfoW@uXh?EVGfKl7@%~KQdMr9>M0A;KnF=rK?eL9koe&k&n%kH*6CBJN>-Du?+IQeZK2W zye73|?qIBwazFjd+nFzm%wM1HI?s;GaoM2|N@7dHzdHA7AIbIl$YyL`I^%sLpTRyt z-e<0lkog(xBjiqf6eT?h)$1e4{yXfX&>8F`q{?0l_j6`RmbHq4wMmk4~ zLT9FPv@wWl&gQzE)AT#*95Di&iOvz@&l%|)G5VaD&e6sm-Z@+9c24u}taHT3b0#`R zj5}wfbHu1~W;#b3b9Q2jORhSpojqet#Pu0by?vR%BQ#kx=Eu>7q*AEPzGqL6BQ+a9 zY1T!HBcYB+hgJ@z@XbAVvm>NMTRExOzN+mN?V!oKQ*5kUBL(=XKxYM0nns$u+iXiP zRpY=iV;1jUo>bCl=M1**`kW3XHM*AId_4%a0DXmZ6-ofU;}URx&MwP_E^#xg={ z&V5y>5Gf5z#ohgpn6}#%M?3_#1-EJZbe0Oe5l=pzizmZD>P%W?lkBu1me#Wj_49|p z)OcGjXj7?~Mc#MlzH2EYzRg>IxA*c>F6&LY8-*++Spa@lSo(biX5 zMQfbNe4z+uDBMtp=P<3H3VR*2LRN?tzz$lNtFDr@2TjW=Xh3zfElevE8#C#cCP@nI zH4erM<~b1;vH4vk{IVGe_|FZ;VtF?0xu?*V-D#V_`^KY=hgzq-JS^D>p*RLAxvVTR zB9;6DH=RQvhmw}DOEI0pj+C^Vqep=rnSg(3DVvTtojE+2N)!am(4#fmp!Hs?A)L$= zoux2J7j2_H+#GC2h+1TXX7y!nItF3HDw{OV-xQ8Tk}U&MxmCT`ieh3>C`Kzwf{Ucy zN--Mk%@=~0xVf;A8l<9JB@^>4wY4ph{8OT@*s#08AzIV!l|j zoes>|J$lQvZ+70Ecq(!A?H^h__ybyR@HN`$MAIfazeu9dE;$%bQiF|9bbO85j?-Q6 z9nzIYAsTIVoa5rQyDz-U#@x@ofG;IHc7Aq?%XY$b>vl@nVxy;I^XEJ$JKJz9;X%ub zr67H@_KExVGlHj6u6sBRwff}wKUjSIy?@pH_@y8Er|Winx93=V@5B6K(}y35HcqD+cuL!`%X!Lnq`a0=wokWF zL_3?IrYT2ln;(8?nTE4*99jrm^NbI*ept)e4ETM~Xm`=B?#GMSqB@)^Br<+iG}@P8 z;@c+=UQQR-t@suwDBbH{hEM{;_=%{)5Uwzfp`hP|XCIppFrokxfwQMKkz>x7qHxZ^ z+U_P<@Slfgnoaxe;qfzK$+|t*!5(r~16bcADpEcnmt3d9CW9o_R1p~sYa*ww!NRh% zwMkyFZaXMpiyB4^d?nD)TZmL8un_E*9L~}^5=6>4BwY+E-(q_h(h6XS?Y+X_F0nOX z0S%aCHm44>061b=-}BFpDcPV+-A^pJmGncJwy@lVEdV(%5?$d0m~LAyojZrwyTD@8 zT3fHanvCQ?C6&P|4TgQ$i>|(!+f72)?37!!J0{!w$pu2FyZv>9I@v-(6(m)=<&}-! z_y_j&)F&G^e(&xZQXf6m)qFZay`n~_L-_sxg~%{+?BR#j>LJGr)@~T1vKs z8=cVfN@{7y)KY6x*J%W%rk+|`v7CJ#R9om08gRP01oLmzrDISkD2&+(<=Qk(wbkL& z&Sq*M7uSFKo4((EJAF9%=&|z;fA7ET{N}NoV8=VO!HybnU7!i5ZAJ>1Br@)tHkDp8 z0%)a^MDosP7b8HsJ_3+D@(%0}BR~fq0p={d9i?wGm0p#)w8tcNNw<&7UF&Md-5L%y z1OPfXcn3-24II3XuoVv8OjwD7j}vwY2k#|pCkMF@ujinj@?GU?foKN+GLBqJ$Xy)l zBybZ4V+5Yh!4!edaWF$*0|ylXal9My+73|U#Vfv=D~yvy6C9(4aRf?*5efsO9Y!&c zp;4G(;XcBkM-g<<7?YDc&TQ{43iB~X=0KtAiWmTRx1n${uemDP3m9l?N$JhwOEVku z&o6ZsiVpQXu$p9;^9T*xl4~kn8N?i;>H>x$+M6>ZEnXS(?P$3AVh6olqqkG^hQ}I| zt-+8r*zWg|tAr6;j9e(sS-euNTOJ8N%F~9pqEhH{{mKi65&(S#SLkz=@V->?JBvlU z;z0!c%us+ZA@V)(!!aRX3W^jWQ~{+^h;mn0ZGF)GkhMNCS`Jrmj`nw0Te!&@+-wbQ zsVOgu_P5t9@9o=vstxC?FxoA+R=@cvR41g#jF_K=A(E!%W48gl)#KeUXzdQFj^Mhv zAMlc*rXx7Ys8HRG;5D(#6vHIFMo0WkYtpW*?F*hnHJ((v2*>^v{*^qDPC+;mtq>M% z8BWw>o4$Y`l%>15#VT;`OgpV<-&k~siW_WAev=(R}$)N^nD#FVo~>Pn?yyZOUZZ(gN+fx1xoELj;4-Q!!Ub0mDRrx-xc9&az3eP zgd1h!zL~!rXnn4;8vEpL#!fI=zQdvm?uzu|fgLPO*gCWc>n|b=CO}Q7pjEpp>uEHY z`4@@CxbC_7YTkOSIsT12Br39^m6k3)Zj<~{fOh4e$O=lf0jpJTU}`m;YAm{sAUV`d zVpTl|U~>?BU5yj{^MZz;*)$zQv!>7&G1fY1v6CDi%hK4uRAOkyq?>##jU?S}=^uSkBR1#}_!ACd5&x(Z;2>1*sI&^3VS8dxNGyq6?Pl1J>FowI z(ithHEE*Xx?JKmbVohvjq;-c4lX*C>IwyMcN|G z(&!zlh?A!rK&nnotd+*w~%j}C%Nw=jr|Kd zlTj0AU!<5dBRdG0NaoFyc6wtoLCGoCp$SSu(C!H$Bxn!Le%d>+hZAlTq>{}}HJq#^e==w}+I>A9!RJ&$4kq152&aJW0WGT12y zUGk@ViD-&4?QV1X7CAkUaIsiQ$4cF)YynEf-#+E zCV7mgXwf&{>A`wODV<}I6M5m}L!2O{<4S2dv!6<6z!5%9Pb|s_C7sZ(p@`0<(*c=C zmhf({n+}6Vmatv^0M&z-Z^x@U*OzVX$F`q1LEt`|$$y=l6GRPy_NFSqVnNWF`#fo~ zKe;fP3KPe33nbq%kEc&bm6ZNGlRn5d)1p_n|AB+c5Et#HcHJ^7W|c={mBw%_8hXM= zh_O|9jmNXATjzX+PJ_f&A2fvD5x%QfjDSh&4H`5S=fQ!F7+yoT*5koij>t`BQkHg^ z8bpOFcy4AQbYHG6(P~sYtXHeME(vO`lb|f6E{(aYb|&@IBl3qA@S4r|QvTJ@IQQZ2 zcQW^j&<6^<`ga#G_xH8H+UEj{D-6Zl{`p1B{ol2iXYy^#{kj%-^jSA^|6I@DmKNsz zl@=IT-^|?jKK}$R8F05AXasXx?CvMd#bp9?#e(}^@MSL+69GN*UOSWK5w!RX)Y?zb z^sRuF5Hz?6&`Mvk-SzUXuuzF#_t&KL^!v;wrHknIv74k2{k}9TZK2;M`fw~6es4%h zVfr1sR7%kARv9Of;dlS}l0v^6g24sywXt(bh2SheaUaFh)Sj{djF?Fj4@fn`Hu-C*~vYs0eHs^&#+S5%?lW2zyKfewuDY;BgUnUw$3}Pl&*}YrP0ODFWZP+k?Py z5!gdg#hwv?pGp*XRs?Rj0^bm^=S86Za}okCh`=WnQQ%u5Fin!kAlTF;UwF%jz)KWB^SfGJBr03xr<#$p!#Dwz#xIYc#6Od0w+IC z;8p^^3%zr(?F9aIfWS@yzp;bB7=ahBAuvT?3*JwxFAO0B!LyEzl$9v z@R4-{;;n?;eZx`$D+K-;BcF@iOyEKczAkn<*zbDwS1xu30nM+w*iizW|B;K`OF-+3 zF7^P&e8a^aB;bF2)rD8g0PcCpg)s=g-Jf@{M+x}FXI$*l1bp@p7ki9=zxbq!Jx;*7 zkGj|s1bpvq7kiR`zq`Z5juWu*HWzz_fJbg}v1bW5aD$6IPr!ADT0+-F@NA!py+OdCf{VRLz)r=* z{)N+IUFZgaEc0-V3a%>n{kyvfbh3NX~}X6pqwh!5{B6yWs5ZWa{aFD`O3IJ3Oq3*8Lc zkO+KkgPUy^VD5Z3>lEOR*0~vkfC#3}bF-8H<#XLEBfy{HZa_tVUs&yCMFD20(_qzc2|He&WF_%;H3Z`6ySgG!Qu+A4d9Fb zZ*GIN72sQ~u(bj_0`M^b{(2tltN<$jpAet~@JRta;Dv=1;0A!t2=HkStg8U80QkHB zf9Zy072tgUza_wJ0ACc~4{-$-K{&jqcsoi}pYK|)4K_-?{2l5Vun3LkE6+VfEjvnw|@= 0x00020000)) - { - DerefOf (RBUF [0x04]) [0x0E] = P001 /* \_SB_.GPU0.PMCL.P001 */ - } - Else - { - DerefOf (RBUF [0x04]) [0x0E] = P002 /* \_SB_.GPU0.PMCL.P002 */ - } - - Return (RBUF) /* \_SB_.GPU0.PMCL.RBUF */ - } - - Method (_ROM, 3, NotSerialized) // _ROM: Read-Only Memory - { - Name (PCFG, Buffer (0x0A5A) - { - /* 0000 */ 0x3C, 0x3F, 0x78, 0x6D, 0x6C, 0x20, 0x76, 0x65, // .< - /* 0028 */ 0x50, 0x61, 0x6E, 0x65, 0x6C, 0x4E, 0x61, 0x6D, // PanelNam - /* 0030 */ 0x65, 0x3E, 0x53, 0x41, 0x4D, 0x53, 0x55, 0x4E, // e>SAMSUN - /* 0038 */ 0x47, 0x5F, 0x45, 0x41, 0x38, 0x30, 0x37, 0x34, // G_EA8074 - /* 0040 */ 0x5F, 0x4E, 0x4F, 0x54, 0x43, 0x48, 0x5F, 0x46, // _NOTCH_F - /* 0048 */ 0x48, 0x44, 0x3C, 0x2F, 0x50, 0x61, 0x6E, 0x65, // HD.< - /* 0058 */ 0x50, 0x61, 0x6E, 0x65, 0x6C, 0x44, 0x65, 0x73, // PanelDes - /* 0060 */ 0x63, 0x72, 0x69, 0x70, 0x74, 0x69, 0x6F, 0x6E, // cription - /* 0068 */ 0x3E, 0x53, 0x61, 0x6D, 0x73, 0x75, 0x6E, 0x67, // >Samsung - /* 0070 */ 0x20, 0x65, 0x61, 0x38, 0x30, 0x37, 0x34, 0x20, // ea8074 - /* 0078 */ 0x4E, 0x6F, 0x74, 0x63, 0x68, 0x20, 0x53, 0x69, // Notch Si - /* 0080 */ 0x6E, 0x67, 0x6C, 0x65, 0x20, 0x44, 0x53, 0x49, // ngle DSI - /* 0088 */ 0x20, 0x43, 0x6F, 0x6D, 0x6D, 0x61, 0x6E, 0x64, // Command - /* 0090 */ 0x20, 0x4D, 0x6F, 0x64, 0x65, 0x20, 0x50, 0x61, // Mode Pa - /* 0098 */ 0x6E, 0x65, 0x6C, 0x20, 0x28, 0x31, 0x30, 0x38, // nel (108 - /* 00A0 */ 0x30, 0x78, 0x32, 0x32, 0x34, 0x38, 0x20, 0x32, // 0x2248 2 - /* 00A8 */ 0x34, 0x62, 0x70, 0x70, 0x29, 0x3C, 0x2F, 0x50, // 4bpp)

- /* 00C0 */ 0x0A, 0x3C, 0x47, 0x72, 0x6F, 0x75, 0x70, 0x20, // ..10 - /* 00F0 */ 0x38, 0x30, 0x3C, 0x2F, 0x48, 0x6F, 0x72, 0x69, // 80.56.56.18.0< - /* 01A8 */ 0x2F, 0x48, 0x6F, 0x72, 0x69, 0x7A, 0x6F, 0x6E, // /Horizon - /* 01B0 */ 0x74, 0x61, 0x6C, 0x53, 0x79, 0x6E, 0x63, 0x53, // talSyncS - /* 01B8 */ 0x6B, 0x65, 0x77, 0x3E, 0x0A, 0x3C, 0x48, 0x6F, // kew>.0.0.224 - /* 0230 */ 0x38, 0x3C, 0x2F, 0x56, 0x65, 0x72, 0x74, 0x69, // 8.2 - /* 0258 */ 0x36, 0x3C, 0x2F, 0x56, 0x65, 0x72, 0x74, 0x69, // 6.< - /* 0270 */ 0x56, 0x65, 0x72, 0x74, 0x69, 0x63, 0x61, 0x6C, // Vertical - /* 0278 */ 0x42, 0x61, 0x63, 0x6B, 0x50, 0x6F, 0x72, 0x63, // BackPorc - /* 0280 */ 0x68, 0x3E, 0x32, 0x34, 0x3C, 0x2F, 0x56, 0x65, // h>24 - /* 0298 */ 0x0A, 0x3C, 0x56, 0x65, 0x72, 0x74, 0x69, 0x63, // .12.0.0.0.Fa - /* 0358 */ 0x6C, 0x73, 0x65, 0x3C, 0x2F, 0x49, 0x6E, 0x76, // lse - /* 0370 */ 0x0A, 0x3C, 0x49, 0x6E, 0x76, 0x65, 0x72, 0x74, // .Fa - /* 0388 */ 0x6C, 0x73, 0x65, 0x3C, 0x2F, 0x49, 0x6E, 0x76, // lse.F - /* 03B8 */ 0x61, 0x6C, 0x73, 0x65, 0x3C, 0x2F, 0x49, 0x6E, // alse. - /* 03E0 */ 0x30, 0x78, 0x30, 0x3C, 0x2F, 0x42, 0x6F, 0x72, // 0x0...9.3...2.0.36. - /* 04F0 */ 0x3C, 0x44, 0x53, 0x49, 0x54, 0x72, 0x61, 0x66, // - /* 0500 */ 0x31, 0x3C, 0x2F, 0x44, 0x53, 0x49, 0x54, 0x72, // 1.4.0x3C00 - /* 0540 */ 0x30, 0x30, 0x3C, 0x2F, 0x44, 0x53, 0x49, 0x52, // 00.False.False.True.1.< - /* 0620 */ 0x44, 0x53, 0x49, 0x43, 0x6F, 0x6E, 0x74, 0x72, // DSIContr - /* 0628 */ 0x6F, 0x6C, 0x6C, 0x65, 0x72, 0x4D, 0x61, 0x70, // ollerMap - /* 0630 */ 0x70, 0x69, 0x6E, 0x67, 0x3E, 0x0A, 0x20, 0x20, // ping>. - /* 0638 */ 0x30, 0x30, 0x0A, 0x3C, 0x2F, 0x44, 0x53, 0x49, // 00..Tru - /* 0668 */ 0x65, 0x3C, 0x2F, 0x44, 0x53, 0x49, 0x54, 0x45, // e.T - /* 0698 */ 0x72, 0x75, 0x65, 0x3C, 0x2F, 0x44, 0x53, 0x49, // rue.< - /* 06B8 */ 0x44, 0x53, 0x49, 0x54, 0x69, 0x6D, 0x69, 0x6E, // DSITimin - /* 06C0 */ 0x67, 0x43, 0x4C, 0x4B, 0x50, 0x72, 0x65, 0x70, // gCLKPrep - /* 06C8 */ 0x61, 0x72, 0x65, 0x4F, 0x76, 0x65, 0x72, 0x72, // areOverr - /* 06D0 */ 0x69, 0x64, 0x65, 0x3E, 0x54, 0x72, 0x75, 0x65, // ide>True - /* 06D8 */ 0x3C, 0x2F, 0x44, 0x53, 0x49, 0x54, 0x69, 0x6D, // .< - /* 06F8 */ 0x44, 0x53, 0x49, 0x54, 0x45, 0x76, 0x53, 0x79, // DSITEvSy - /* 0700 */ 0x6E, 0x63, 0x53, 0x74, 0x61, 0x72, 0x74, 0x50, // ncStartP - /* 0708 */ 0x6F, 0x73, 0x3E, 0x32, 0x32, 0x34, 0x38, 0x3C, // os>2248< - /* 0710 */ 0x2F, 0x44, 0x53, 0x49, 0x54, 0x45, 0x76, 0x53, // /DSITEvS - /* 0718 */ 0x79, 0x6E, 0x63, 0x53, 0x74, 0x61, 0x72, 0x74, // yncStart - /* 0720 */ 0x50, 0x6F, 0x73, 0x3E, 0x0A, 0x3C, 0x44, 0x53, // Pos>. - /* 0738 */ 0x32, 0x32, 0x34, 0x38, 0x3C, 0x2F, 0x44, 0x53, // 2248 - /* 0750 */ 0x0A, 0x3C, 0x44, 0x53, 0x49, 0x54, 0x69, 0x6D, // .7. - /* 0788 */ 0x3C, 0x44, 0x53, 0x49, 0x54, 0x69, 0x6D, 0x69, // True - /* 07A8 */ 0x3C, 0x2F, 0x44, 0x53, 0x49, 0x54, 0x69, 0x6D, // .7 - /* 07E0 */ 0x3C, 0x2F, 0x44, 0x53, 0x49, 0x54, 0x69, 0x6D, // .. 05 - /* 0818 */ 0x20, 0x31, 0x31, 0x0A, 0x20, 0x46, 0x46, 0x20, // 11. FF - /* 0820 */ 0x30, 0x41, 0x0A, 0x20, 0x33, 0x39, 0x20, 0x46, // 0A. 39 F - /* 0828 */ 0x30, 0x20, 0x35, 0x41, 0x20, 0x35, 0x41, 0x0A, // 0 5A 5A. - /* 0830 */ 0x20, 0x33, 0x39, 0x20, 0x45, 0x46, 0x20, 0x46, // 39 EF F - /* 0838 */ 0x30, 0x20, 0x33, 0x31, 0x20, 0x30, 0x30, 0x20, // 0 31 00 - /* 0840 */ 0x33, 0x33, 0x20, 0x33, 0x31, 0x20, 0x31, 0x34, // 33 31 14 - /* 0848 */ 0x20, 0x33, 0x35, 0x0A, 0x20, 0x33, 0x39, 0x20, // 35. 39 - /* 0850 */ 0x42, 0x30, 0x20, 0x30, 0x31, 0x0A, 0x20, 0x33, // B0 01. 3 - /* 0858 */ 0x39, 0x20, 0x42, 0x42, 0x20, 0x30, 0x33, 0x0A, // 9 BB 03. - /* 0860 */ 0x20, 0x33, 0x39, 0x20, 0x42, 0x30, 0x20, 0x34, // 39 B0 4 - /* 0868 */ 0x46, 0x0A, 0x20, 0x33, 0x39, 0x20, 0x43, 0x42, // F. 39 CB - /* 0870 */ 0x20, 0x30, 0x30, 0x0A, 0x20, 0x33, 0x39, 0x20, // 00. 39 - /* 0878 */ 0x42, 0x30, 0x20, 0x36, 0x42, 0x0A, 0x20, 0x33, // B0 6B. 3 - /* 0880 */ 0x39, 0x20, 0x43, 0x42, 0x20, 0x30, 0x30, 0x0A, // 9 CB 00. - /* 0888 */ 0x20, 0x33, 0x39, 0x20, 0x46, 0x37, 0x20, 0x30, // 39 F7 0 - /* 0890 */ 0x33, 0x0A, 0x20, 0x33, 0x39, 0x20, 0x42, 0x30, // 3. 39 B0 - /* 0898 */ 0x20, 0x30, 0x35, 0x0A, 0x20, 0x33, 0x39, 0x20, // 05. 39 - /* 08A0 */ 0x42, 0x31, 0x20, 0x31, 0x30, 0x0A, 0x20, 0x33, // B1 10. 3 - /* 08A8 */ 0x39, 0x20, 0x42, 0x30, 0x20, 0x30, 0x32, 0x0A, // 9 B0 02. - /* 08B0 */ 0x20, 0x33, 0x39, 0x20, 0x44, 0x35, 0x20, 0x30, // 39 D5 0 - /* 08B8 */ 0x32, 0x20, 0x30, 0x33, 0x20, 0x34, 0x32, 0x20, // 2 03 42 - /* 08C0 */ 0x31, 0x45, 0x0A, 0x20, 0x33, 0x39, 0x20, 0x46, // 1E. 39 F - /* 08C8 */ 0x30, 0x20, 0x41, 0x35, 0x20, 0x41, 0x35, 0x0A, // 0 A5 A5. - /* 08D0 */ 0x20, 0x33, 0x39, 0x20, 0x46, 0x30, 0x20, 0x35, // 39 F0 5 - /* 08D8 */ 0x41, 0x20, 0x35, 0x41, 0x0A, 0x20, 0x33, 0x39, // A 5A. 39 - /* 08E0 */ 0x20, 0x46, 0x43, 0x20, 0x35, 0x41, 0x20, 0x35, // FC 5A 5 - /* 08E8 */ 0x41, 0x0A, 0x20, 0x33, 0x39, 0x20, 0x44, 0x32, // A. 39 D2 - /* 08F0 */ 0x20, 0x39, 0x46, 0x20, 0x46, 0x30, 0x0A, 0x20, // 9F F0. - /* 08F8 */ 0x33, 0x39, 0x20, 0x42, 0x30, 0x20, 0x30, 0x45, // 39 B0 0E - /* 0900 */ 0x0A, 0x20, 0x33, 0x39, 0x20, 0x44, 0x32, 0x20, // . 39 D2 - /* 0908 */ 0x37, 0x30, 0x0A, 0x20, 0x33, 0x39, 0x20, 0x42, // 70. 39 B - /* 0910 */ 0x30, 0x20, 0x30, 0x34, 0x0A, 0x20, 0x33, 0x39, // 0 04. 39 - /* 0918 */ 0x20, 0x44, 0x32, 0x20, 0x32, 0x30, 0x0A, 0x20, // D2 20. - /* 0920 */ 0x33, 0x39, 0x20, 0x46, 0x30, 0x20, 0x41, 0x35, // 39 F0 A5 - /* 0928 */ 0x20, 0x41, 0x35, 0x0A, 0x20, 0x33, 0x39, 0x20, // A5. 39 - /* 0930 */ 0x46, 0x43, 0x20, 0x41, 0x35, 0x20, 0x41, 0x35, // FC A5 A5 - /* 0938 */ 0x0A, 0x20, 0x31, 0x35, 0x20, 0x33, 0x35, 0x20, // . 15 35 - /* 0940 */ 0x30, 0x30, 0x0A, 0x20, 0x33, 0x39, 0x20, 0x35, // 00. 39 5 - /* 0948 */ 0x31, 0x20, 0x30, 0x30, 0x20, 0x30, 0x30, 0x0A, // 1 00 00. - /* 0950 */ 0x20, 0x31, 0x35, 0x20, 0x35, 0x33, 0x20, 0x32, // 15 53 2 - /* 0958 */ 0x35, 0x0A, 0x20, 0x31, 0x35, 0x20, 0x35, 0x35, // 5. 15 55 - /* 0960 */ 0x20, 0x30, 0x30, 0x0A, 0x20, 0x33, 0x39, 0x20, // 00. 39 - /* 0968 */ 0x32, 0x62, 0x20, 0x30, 0x30, 0x20, 0x30, 0x30, // 2b 00 00 - /* 0970 */ 0x20, 0x30, 0x38, 0x20, 0x63, 0x37, 0x0A, 0x20, // 08 c7. - /* 0978 */ 0x46, 0x46, 0x20, 0x36, 0x45, 0x0A, 0x20, 0x30, // FF 6E. 0 - /* 0980 */ 0x35, 0x20, 0x32, 0x39, 0x0A, 0x3C, 0x2F, 0x44, // 5 29.. - /* 0998 */ 0x3C, 0x47, 0x72, 0x6F, 0x75, 0x70, 0x20, 0x69, // .3
. < - /* 09E0 */ 0x42, 0x61, 0x63, 0x6B, 0x6C, 0x69, 0x67, 0x68, // Backligh - /* 09E8 */ 0x74, 0x50, 0x6D, 0x69, 0x63, 0x43, 0x6F, 0x6E, // tPmicCon - /* 09F0 */ 0x74, 0x72, 0x6F, 0x6C, 0x54, 0x79, 0x70, 0x65, // trolType - /* 09F8 */ 0x3E, 0x32, 0x3C, 0x2F, 0x42, 0x61, 0x63, 0x6B, // >2. < - /* 0A18 */ 0x44, 0x69, 0x73, 0x70, 0x6C, 0x61, 0x79, 0x52, // DisplayR - /* 0A20 */ 0x65, 0x73, 0x65, 0x74, 0x49, 0x6E, 0x66, 0x6F, // esetInfo - /* 0A28 */ 0x3E, 0x30, 0x20, 0x31, 0x30, 0x30, 0x30, 0x20, // >0 1000 - /* 0A30 */ 0x31, 0x30, 0x30, 0x30, 0x20, 0x31, 0x30, 0x30, // 1000 100 - /* 0A38 */ 0x30, 0x30, 0x20, 0x30, 0x3C, 0x2F, 0x44, 0x69, // 00 0. - /* 0A50 */ 0x3C, 0x2F, 0x47, 0x72, 0x6F, 0x75, 0x70, 0x3E, // - /* 0A58 */ 0x0A, 0x00 // .. - }) - Local2 = PCFG /* \_SB_.GPU0._ROM.PCFG */ - If ((Arg0 >= SizeOf (Local2))) - { - Return (Buffer (One) - { - 0x00 // . - }) - } - Else - { - Local0 = Arg0 - } - - If ((Arg1 > 0x1000)) - { - Local1 = 0x1000 - } - Else - { - Local1 = Arg1 - } - - If (((Local0 + Local1) > SizeOf (Local2))) - { - Local1 = (SizeOf (Local2) - Local0) - } - - CreateField (Local2, (0x08 * Local0), (0x08 * Local1), RBUF) - Return (RBUF) /* \_SB_.GPU0._ROM.RBUF */ - } - - Method (PIGC, 2, NotSerialized) - { - Name (RBUF, Buffer (One) - { - 0x00 // . - }) - Return (RBUF) /* \_SB_.GPU0.PIGC.RBUF */ - } - - Method (PPCC, 2, NotSerialized) - { - Name (RBUF, Buffer (One) - { - 0x00 // . - }) - Return (RBUF) /* \_SB_.GPU0.PPCC.RBUF */ - } - - Method (PGCT, 2, NotSerialized) - { - Name (RBUF, Buffer (One) - { - 0x00 // . - }) - Return (RBUF) /* \_SB_.GPU0.PGCT.RBUF */ - } - - Method (PLGC, 3, NotSerialized) - { - Name (TBUF, Buffer (One) - { - 0x00 // . - }) - If ((Arg1 >= SizeOf (TBUF))) - { - Return (Buffer (One) - { - 0x00 // . - }) - } - Else - { - Local1 = Arg1 - } - - If ((Arg2 > 0x1000)) - { - Local2 = 0x1000 - } - Else - { - Local2 = Arg2 - } - - If (((Local1 + Local2) > SizeOf (TBUF))) - { - Local2 = (SizeOf (TBUF) - Local1) - } - - CreateField (TBUF, (0x08 * Local1), (0x08 * Local2), RBUF) - Return (RBUF) /* \_SB_.GPU0.PLGC.RBUF */ - } - - Method (HSIC, 2, NotSerialized) - { - Name (RBUF, Buffer (One) - { - 0x00 // . - }) - Return (RBUF) /* \_SB_.GPU0.HSIC.RBUF */ - } - - Method (PGMT, 2, NotSerialized) - { - Name (TBUF, Buffer (One) - { - 0x00 // . - }) - If ((Arg0 >= SizeOf (TBUF))) - { - Return (Buffer (One) - { - 0x00 // . - }) - } - Else - { - Local0 = Arg0 - } - - If ((Arg1 > 0x1000)) - { - Local1 = 0x1000 - } - Else - { - Local1 = Arg1 - } - - If (((Local0 + Local1) > SizeOf (TBUF))) - { - Local1 = (SizeOf (TBUF) - Local0) - } - - CreateField (TBUF, (0x08 * Local0), (0x08 * Local1), RBUF) - Return (RBUF) /* \_SB_.GPU0.PGMT.RBUF */ - } - - Method (PWGM, 2, NotSerialized) - { - Name (TBUF, Buffer (One) - { - 0x00 // . - }) - If ((Arg0 >= SizeOf (TBUF))) - { - Return (Buffer (One) - { - 0x00 // . - }) - } - Else - { - Local0 = Arg0 - } - - If ((Arg1 > 0x1000)) - { - Local1 = 0x1000 - } - Else - { - Local1 = Arg1 - } - - If (((Local0 + Local1) > SizeOf (TBUF))) - { - Local1 = (SizeOf (TBUF) - Local0) - } - - CreateField (TBUF, (0x08 * Local0), (0x08 * Local1), RBUF) - Return (RBUF) /* \_SB_.GPU0.PWGM.RBUF */ - } - - Method (PGRT, 2, NotSerialized) - { - Name (RBUF, Buffer (One) - { - 0x00 // . - }) - Return (RBUF) /* \_SB_.GPU0.PGRT.RBUF */ - } - - Method (PBRT, 2, NotSerialized) - { - Name (RBUF, Buffer (One) - { - 0x00 // . - }) - Return (RBUF) /* \_SB_.GPU0.PBRT.RBUF */ - } - - Method (PBRC, 2, NotSerialized) - { - Name (RBUF, Buffer (0x02) - { - 0x00, 0x00 // .. - }) - Return (RBUF) /* \_SB_.GPU0.PBRC.RBUF */ - } - - Method (DITH, 2, NotSerialized) - { - Name (RBUF, Buffer (One) - { - 0x00 // . - }) - Return (RBUF) /* \_SB_.GPU0.DITH.RBUF */ - } - - Method (BLCP, 1, Serialized) - { - Name (RBUF, Buffer (0x0100){}) - Local0 = Zero - CreateField (RBUF, (Local0 * 0x08), 0x20, PKHR) - Local0 += 0x04 - CreateField (RBUF, (Local0 * 0x08), 0x08, PKCM) - Local0 += One - CreateField (RBUF, (Local0 * 0x08), 0x08, PKDS) - Local0 += One - CreateField (RBUF, (Local0 * 0x08), 0x08, PKUB) - Local0 += One - CreateField (RBUF, (Local0 * 0x08), 0x08, PKLB) - Local0 += One - Name (BON, Buffer (0x03) - { - 0x39, 0x53, 0x24 // 9S$ - }) - CreateField (RBUF, (Local0 * 0x08), 0x20, PKH2) - Local0 += 0x04 - CreateField (RBUF, (Local0 * 0x08), 0x20, PKP2) - PKH2 = SizeOf (BON) - PKP2 = BON /* \_SB_.GPU0.BLCP.BON_ */ - Local0 += SizeOf (BON) - CreateDWordField (RBUF, (Local0 * 0x08), EOF) - Local1 = (Arg0 * 0x03FF) - Local1 /= 0xFF - Local2 = (Arg0 * 0x03FF) - Local2 /= 0xFF00 - Local2 &= 0x03 - PKHR = 0x04 - PKCM = 0x39 - PKDS = 0x51 - PKUB = Local2 - PKLB = Local1 - EOF = Zero - Return (RBUF) /* \_SB_.GPU0.BLCP.RBUF */ - } - - Method (ROM2, 3, NotSerialized) - { - Name (PCFG, Buffer (One) - { - 0x00 // . - }) - While (One) - { - If (One) - { - Local2 = PCFG /* \_SB_.GPU0.ROM2.PCFG */ - } - - Break - } - - If ((Arg0 >= SizeOf (Local2))) - { - Return (Buffer (One) - { - 0x00 // . - }) - } - Else - { - Local0 = Arg0 - } - - If ((Arg1 > 0x1000)) - { - Local1 = 0x1000 - } - Else - { - Local1 = Arg1 - } - - If (((Local0 + Local1) > SizeOf (Local2))) - { - Local1 = (SizeOf (Local2) - Local0) - } - - CreateField (Local2, (0x08 * Local0), (0x08 * Local1), RBUF) - Return (RBUF) /* \_SB_.GPU0.ROM2.RBUF */ - } - - Method (IGC2, 2, NotSerialized) - { - Name (RBUF, Buffer (One) - { - 0x00 // . - }) - Return (RBUF) /* \_SB_.GPU0.IGC2.RBUF */ - } - - Method (PCC2, 2, NotSerialized) - { - Name (RBUF, Buffer (One) - { - 0x00 // . - }) - Return (RBUF) /* \_SB_.GPU0.PCC2.RBUF */ - } - - Method (GCT2, 2, NotSerialized) - { - Name (RBUF, Buffer (One) - { - 0x00 // . - }) - Return (RBUF) /* \_SB_.GPU0.GCT2.RBUF */ - } - - Method (LGC2, 3, NotSerialized) - { - Name (TBUF, Buffer (One) - { - 0x00 // . - }) - If ((Arg1 >= SizeOf (TBUF))) - { - Return (Buffer (One) - { - 0x00 // . - }) - } - Else - { - Local1 = Arg1 - } - - If ((Arg2 > 0x1000)) - { - Local2 = 0x1000 - } - Else - { - Local2 = Arg2 - } - - If (((Local1 + Local2) > SizeOf (TBUF))) - { - Local2 = (SizeOf (TBUF) - Local1) - } - - CreateField (TBUF, (0x08 * Local1), (0x08 * Local2), RBUF) - Return (RBUF) /* \_SB_.GPU0.LGC2.RBUF */ - } - - Method (HSI2, 2, NotSerialized) - { - Name (RBUF, Buffer (One) - { - 0x00 // . - }) - Return (RBUF) /* \_SB_.GPU0.HSI2.RBUF */ - } - - Method (GMT2, 2, NotSerialized) - { - Name (TBUF, Buffer (One) - { - 0x00 // . - }) - If ((Arg0 >= SizeOf (TBUF))) - { - Return (Buffer (One) - { - 0x00 // . - }) - } - Else - { - Local0 = Arg0 - } - - If ((Arg1 > 0x1000)) - { - Local1 = 0x1000 - } - Else - { - Local1 = Arg1 - } - - If (((Local0 + Local1) > SizeOf (TBUF))) - { - Local1 = (SizeOf (TBUF) - Local0) - } - - CreateField (TBUF, (0x08 * Local0), (0x08 * Local1), RBUF) - Return (RBUF) /* \_SB_.GPU0.GMT2.RBUF */ - } - - Method (WGM2, 2, NotSerialized) - { - Name (TBUF, Buffer (One) - { - 0x00 // . - }) - If ((Arg0 >= SizeOf (TBUF))) - { - Return (Buffer (One) - { - 0x00 // . - }) - } - Else - { - Local0 = Arg0 - } - - If ((Arg1 > 0x1000)) - { - Local1 = 0x1000 - } - Else - { - Local1 = Arg1 - } - - If (((Local0 + Local1) > SizeOf (TBUF))) - { - Local1 = (SizeOf (TBUF) - Local0) - } - - CreateField (TBUF, (0x08 * Local0), (0x08 * Local1), RBUF) - Return (RBUF) /* \_SB_.GPU0.WGM2.RBUF */ - } - - Method (GRT2, 2, NotSerialized) - { - Name (RBUF, Buffer (One) - { - 0x00 // . - }) - Return (RBUF) /* \_SB_.GPU0.GRT2.RBUF */ - } - - Method (BRT2, 2, NotSerialized) - { - Name (RBUF, Buffer (One) - { - 0x00 // . - }) - Return (RBUF) /* \_SB_.GPU0.BRT2.RBUF */ - } - - Method (DIT2, 2, NotSerialized) - { - Name (RBUF, Buffer (One) - { - 0x00 // . - }) - Return (RBUF) /* \_SB_.GPU0.DIT2.RBUF */ - } - - Method (BLC2, 1, NotSerialized) - { - Name (RBUF, Buffer (0x0100){}) - Return (RBUF) /* \_SB_.GPU0.BLC2.RBUF */ - } - - Method (ROE1, 3, NotSerialized) - { - Name (PCFG, Buffer (One) - { - 0x00 // . - }) - Local2 = PCFG /* \_SB_.GPU0.ROE1.PCFG */ - If ((Arg0 >= SizeOf (Local2))) - { - Return (Buffer (One) - { - 0x00 // . - }) - } - Else - { - Local0 = Arg0 - } - - If ((Arg1 > 0x1000)) - { - Local1 = 0x1000 - } - Else - { - Local1 = Arg1 - } - - If (((Local0 + Local1) > SizeOf (Local2))) - { - Local1 = (SizeOf (Local2) - Local0) - } - - CreateField (Local2, (0x08 * Local0), (0x08 * Local1), RBUF) - Return (RBUF) /* \_SB_.GPU0.ROE1.RBUF */ - } - - Name (_DOD, Package (0x01) // _DOD: Display Output Devices - { - 0x00024321 - }) - Method (_STA, 0, NotSerialized) // _STA: Status - { - Return (0x0F) - } - - Method (CHDV, 0, NotSerialized) - { - Name (CHIF, Package (0x02) - { - One, - Package (0x07) - { - "CHILDDEV", - Zero, - 0x00024321, - "QCOM_AVStream_850", - Zero, - "Qualcomm Camera AVStream Mini Driver", - Package (0x04) - { - "COMPATIBLEIDS", - 0x02, - "VEN_QCOM&DEV__AVSTREAM", - "QCOM_AVSTREAM" - } - } - }) - Return (CHIF) /* \_SB_.GPU0.CHDV.CHIF */ - } - - Method (DPCC, 2, NotSerialized) - { - Return (CCST) /* \_SB_.CCST */ - } - - Method (DPIN, 2, NotSerialized) - { - Return (PINA) /* \_SB_.PINA */ - } - - Method (REGR, 0, NotSerialized) - { - Name (RBUF, Package (0x1B) - { - Package (0x02) - { - "ForceMaxPerf", - Zero - }, - - Package (0x02) - { - "ForceStablePowerSettings", - Zero - }, - - Package (0x02) - { - "ForceActive", - Zero - }, - - Package (0x02) - { - "DeferForceActive", - Zero - }, - - Package (0x02) - { - "PreventPowerCollapse", - Zero - }, - - Package (0x02) - { - "DisableThermalMitigation", - Zero - }, - - Package (0x02) - { - "DisableTzMDSSRestore", - One - }, - - Package (0x02) - { - "UseLowPTForGfxPerProcess", - One - }, - - Package (0x02) - { - "DisableCDI", - One - }, - - Package (0x02) - { - "GPU64bAddrEnabled", - One - }, - - Package (0x02) - { - "MaxPreemptionOffsets", - 0x80 - }, - - Package (0x02) - { - "MaxRequiredDmaQueueEntry", - 0x08 - }, - - Package (0x02) - { - "SupportsSecureInAperture", - One - }, - - Package (0x02) - { - "ZeroFlagSupportInPTE", - One - }, - - Package (0x02) - { - "SupportsCacheCoherency", - One - }, - - Package (0x02) - { - "SupportsSHMBridge", - Zero - }, - - Package (0x02) - { - "SecureCarveoutSize", - 0x00200000 - }, - - Package (0x02) - { - "UBWCEnable", - Zero - }, - - Package (0x02) - { - "allowDrmAbove1080p", - One - }, - - Package (0x02) - { - "ZeroPageLowAddr", - 0x85F00000 - }, - - Package (0x02) - { - "ZeroPageHighAddr", - Zero - }, - - Package (0x02) - { - "KeepUefiBuffer", - One - }, - - Package (0x06) - { - "GRAPHICS", - Package (0x02) - { - "ForceActive", - Zero - }, - - Package (0x02) - { - "EnableSystemCache", - One - }, - - Package (0x02) - { - "EnableSysCacheForGpuhtw", - One - }, - - Package (0x0A) - { - "DCVS", - Package (0x02) - { - "Enable", - One - }, - - Package (0x02) - { - "IncreaseFilterBw", - 0x00020000 - }, - - Package (0x02) - { - "DecreaseFilterBw", - 0x3333 - }, - - Package (0x02) - { - "TargetBusyPct", - 0x55 - }, - - Package (0x02) - { - "SampleRate", - 0x3C - }, - - Package (0x02) - { - "TargetBusyPctOffscreen", - 0x4B - }, - - Package (0x02) - { - "SampleRateOffscreen", - 0x14 - }, - - Package (0x02) - { - "GpuResetValue", - 0x11490C80 - }, - - Package (0x02) - { - "BusResetValue", - 0x04B0 - } - }, - - Package (0x06) - { - "A6x", - Package (0x02) - { - "SleepMode", - Zero - }, - - Package (0x02) - { - "DisableICG", - Zero - }, - - Package (0x02) - { - "DisableGmuCG", - Zero - }, - - Package (0x02) - { - "EnableFallbackToDisableSecureMode", - Zero - }, - - Package (0x02) - { - "DisableCPCrashDump", - Zero - } - } - }, - - Package (0x04) - { - "VIDEO", - Package (0x02) - { - "ForceActive", - Zero - }, - - Package (0x02) - { - "PreventPowerCollapse", - Zero - }, - - Package (0x02) - { - "EnableSystemCache", - One - } - }, - - Package (0x02) - { - "CRYPTO", - Package (0x02) - { - "EnableCryptoVA", - One - } - }, - - Package (0x03) - { - "VIDEO_ENCODER", - Package (0x02) - { - "ForceActive", - Zero - }, - - Package (0x02) - { - "PreventPowerCollapse", - Zero - } - }, - - Package (0x07) - { - "DISPLAY", - Package (0x02) - { - "DisableMiracast", - One - }, - - Package (0x02) - { - "EnableOEMDriverDependency", - Zero - }, - - Package (0x02) - { - "EnableBridgeDriverDependency", - Zero - }, - - Package (0x02) - { - "DisableRotator", - Zero - }, - - Package (0x02) - { - "DisableMDPBLT", - One - }, - - Package (0x02) - { - "DisableExternal", - 0x03 - } - } - }) - Return (RBUF) /* \_SB_.GPU0.REGR.RBUF */ - } - } - - Device (SCM0) - { - Name (_HID, "QCOM0214") // _HID: Hardware ID - Alias (PSUB, _SUB) - Name (_UID, Zero) // _UID: Unique ID - } - - Device (TREE) - { - Name (_HID, "QCOM02BB") // _HID: Hardware ID - Alias (PSUB, _SUB) - Name (_UID, Zero) // _UID: Unique ID - Method (MCGT, 0, NotSerialized) - { - Name (TPKG, Package (0x01) - { - Package (0x02) - { - Zero, - Zero - } - }) - DerefOf (TPKG [Zero]) [Zero] = TCMA /* \_SB_.TCMA */ - DerefOf (TPKG [Zero]) [One] = TCML /* \_SB_.TCML */ - Return (TPKG) /* \_SB_.TREE.MCGT.TPKG */ - } - } - - Device (SPMI) - { - Name (_HID, "QCOM0216") // _HID: Hardware ID - Alias (PSUB, _SUB) - Name (_CID, "PNP0CA2") // _CID: Compatible ID - Name (_UID, One) // _UID: Unique ID - Name (_CCA, Zero) // _CCA: Cache Coherency Attribute - Method (_CRS, 0, NotSerialized) // _CRS: Current Resource Settings - { - Name (RBUF, ResourceTemplate () - { - Memory32Fixed (ReadWrite, - 0x0C400000, // Address Base - 0x02800000, // Address Length - ) - }) - Return (RBUF) /* \_SB_.SPMI._CRS.RBUF */ - } - - Method (CONF, 0, NotSerialized) - { - Name (XBUF, Buffer (0x1A) - { - /* 0000 */ 0x00, 0x01, 0x01, 0x01, 0xFF, 0x00, 0x02, 0x00, // ........ - /* 0008 */ 0x0A, 0x07, 0x04, 0x07, 0x01, 0xFF, 0x10, 0x01, // ........ - /* 0010 */ 0x00, 0x01, 0x0C, 0x40, 0x00, 0x00, 0x02, 0x80, // ...@.... - /* 0018 */ 0x00, 0x00 // .. - }) - Return (XBUF) /* \_SB_.SPMI.CONF.XBUF */ - } - } - - Device (GIO0) - { - Name (_HID, "QCOM0217") // _HID: Hardware ID - Alias (\_SB.PSUB, _SUB) - Name (_UID, Zero) // _UID: Unique ID - Method (_CRS, 0, NotSerialized) // _CRS: Current Resource Settings - { - Name (RBUF, ResourceTemplate () - { - Memory32Fixed (ReadWrite, - 0x03400000, // Address Base - 0x00C00000, // Address Length - ) - Interrupt (ResourceConsumer, Level, ActiveHigh, Shared, ,, ) - { - 0x000000F0, - } - Interrupt (ResourceConsumer, Level, ActiveHigh, Shared, ,, ) - { - 0x000000F0, - } - Interrupt (ResourceConsumer, Level, ActiveHigh, Shared, ,, ) - { - 0x000000F0, - } - Interrupt (ResourceConsumer, Edge, ActiveHigh, Shared, ,, ) - { - 0x00000288, - } - Interrupt (ResourceConsumer, Edge, ActiveHigh, Shared, ,, ) - { - 0x00000238, - } - Interrupt (ResourceConsumer, Edge, ActiveHigh, Shared, ,, ) - { - 0x00000286, - } - }) - Return (RBUF) /* \_SB_.GIO0._CRS.RBUF */ - } - - Method (OFNI, 0, NotSerialized) - { - Name (RBUF, Buffer (0x02) - { - 0x96, 0x00 // .. - }) - Return (RBUF) /* \_SB_.GIO0.OFNI.RBUF */ - } - - Name (GABL, Zero) - Method (_REG, 2, NotSerialized) // _REG: Region Availability - { - If ((Arg0 == 0x08)) - { - GABL = Arg1 - } - } - } - - OperationRegion (CP00, SystemMemory, 0x13000000, 0x24) - Field (CP00, DWordAcc, NoLock, Preserve) - { - MVIO, 32, - MV01, 32, - MV02, 32, - MV03, 32, - MV04, 32, - MV11, 32, - MV12, 32, - MV13, 32, - MV14, 32 - } - - OperationRegion (CP01, SystemMemory, 0x01C00000, 0x1004) - Field (CP01, DWordAcc, NoLock, Preserve) - { - PSC0, 32, - Offset (0x20), - PPC0, 32, - PPS0, 32, - Offset (0x1B0), - PLT0, 32, - Offset (0x358), - PSL0, 32, - Offset (0x360), - WBL0, 32, - WBH0, 32, - WLL0, 32, - WLH0, 32, - RBL0, 32, - RBH0, 32, - RLL0, 32, - RLH0, 32, - PPEB, 32, - Offset (0x398), - WBL1, 32, - WBH1, 32, - WLL1, 32, - WLH1, 32, - RBL1, 32, - RBH1, 32, - RLL1, 32, - RLH1, 32, - Offset (0x1000), - PDT0, 32 - } - - OperationRegion (CP02, SystemMemory, 0x01C06000, 0x0188) - Field (CP02, DWordAcc, NoLock, Preserve) - { - Offset (0x0C), - QCB1, 32, - QSEC, 32, - QAP1, 32, - QAP2, 32, - QSP1, 32, - QSP2, 32, - QSS1, 32, - QSS2, 32, - Offset (0x34), - QECE, 32, - QCE1, 32, - QSCC, 32, - QSBE, 32, - Offset (0x48), - QCPI, 32, - Offset (0x5C), - QCED, 32, - QCP0, 32, - Offset (0x68), - QPR0, 32, - Offset (0x70), - QPC0, 32, - Offset (0x80), - QSES, 32, - Offset (0x88), - QCRC, 32, - Offset (0x90), - QCLC, 32, - Offset (0x98), - QC1M, 32, - QC2M, 32, - QC3M, 32, - Offset (0xB0), - QSM0, 32, - Offset (0xB8), - QS1M, 32, - QS2M, 32, - QS3M, 32, - Offset (0xD8), - QIG0, 32, - QIG1, 32, - Offset (0xF0), - QCVT, 32, - QVT1, 32, - QVT2, 32, - Offset (0x11C), - QTT1, 32, - QTT2, 32, - Offset (0x138), - QCCS, 32, - QCHS, 32, - Offset (0x148), - QCD0, 32, - Offset (0x154), - QCCN, 32, - Offset (0x15C), - QCCC, 32, - Offset (0x164), - QMCS, 32, - Offset (0x184), - QCCM, 32 - } - - OperationRegion (CP03, SystemMemory, 0x01C06200, 0xA8) - Field (CP03, DWordAcc, NoLock, Preserve) - { - Offset (0x44), - QTOT, 32, - Offset (0x60), - QTDE, 32, - Offset (0x8C), - QTM1, 32, - Offset (0xA4), - QTL2, 32 - } - - OperationRegion (CP04, SystemMemory, 0x01C06400, 0x016C) - Field (CP04, DWordAcc, NoLock, Preserve) - { - Offset (0x0C), - QRSH, 32, - Offset (0x14), - QRSG, 32, - Offset (0x34), - QRUS, 32, - Offset (0x3C), - QRFL, 32, - Offset (0x44), - QRPC, 32, - Offset (0xD4), - QRC2, 32, - QRC3, 32, - QRC4, 32, - Offset (0xF8), - QRA1, 32, - QRA2, 32, - QRSE, 32, - QRSC, 32, - Offset (0x10C), - QRDC, 32, - Offset (0x11C), - QRIM, 32, - Offset (0x164), - QRM0, 32, - QRM1, 32 - } - - OperationRegion (CP05, SystemMemory, 0x01C06600, 0x70) - Field (CP05, DWordAcc, NoLock, Preserve) - { - Offset (0x2C), - PMDC, 32, - Offset (0x44), - PAC1, 32, - Offset (0x54), - PMC2, 32, - PMC3, 32, - PMC4, 32, - PMC5, 32 - } - - OperationRegion (CP06, SystemMemory, 0x01C06800, 0x0210) - Field (CP06, DWordAcc, NoLock, Preserve) - { - PPSR, 32, - PPDC, 32, - PCST, 32, - Offset (0x54), - PERD, 32, - Offset (0x6C), - PSC4, 32, - Offset (0xA0), - PDTA, 32, - PLTA, 32, - PLCD, 32, - Offset (0xC4), - PFC1, 32, - PFC2, 32, - PFVL, 32, - PFVH, 32, - PFMC, 32, - Offset (0x174), - PPPS, 32, - Offset (0x1A8), - PSDM, 32, - PODA, 32, - PPSC, 32, - Offset (0x1D8), - PRSL, 32, - PDAL, 32, - PDAM, 32, - Offset (0x20C), - PRC1, 32 - } - - OperationRegion (CP07, SystemMemory, 0x60000000, 0x1000) - Field (CP07, DWordAcc, NoLock, Preserve) - { - Offset (0x04), - SCR0, 32, - CRI0, 32, - Offset (0x10), - R0B0, 32, - R0B1, 32, - BNR0, 32, - Offset (0x7C), - LCA0, 32, - LCS0, 32, - Offset (0x88), - SLC0, 32, - Offset (0xA0), - LC20, 32, - Offset (0x8BC), - CSW0, 32, - Offset (0x900), - IAV0, 32, - CR10, 32, - CR20, 32, - ILB0, 32, - IUB0, 32, - ILR0, 32, - ILT0, 32, - IUT0, 32, - Offset (0xF24), - ESC0, 32, - EST0, 32, - Offset (0xFC4), - ECS0, 32 - } - - Method (PPU0, 0, Serialized) - { - Name (TOUT, Zero) - PDT0 = 0x04 - PPDC = One - QECE = 0x14 - QCPI = 0x07 - QCLC = One - QCRC = 0x20 - QCVT = Zero - QVT2 = One - QVT1 = 0xC9 - QTT1 = 0xFF - QTT2 = 0x3F - QMCS = One - QCCN = Zero - QCD0 = 0x0A - QCED = 0x19 - QCE1 = 0x90 - QSM0 = 0x82 - QS3M = 0x02 - QS2M = 0xEA - QS1M = 0xAB - QC3M = Zero - QC2M = 0x0D - QC1M = 0x04 - QCHS = Zero - QCP0 = 0x06 - QPR0 = 0x16 - QPC0 = 0x36 - QCCM = One - QCCC = 0x16 - QCCS = 0x33 - QSCC = 0x02 - QSBE = 0x07 - QSES = 0x04 - QIG1 = Zero - QIG0 = 0x3F - QCB1 = 0x09 - QSEC = One - QSP1 = 0x40 - QSP2 = One - QAP1 = 0x02 - QAP2 = Zero - QSS1 = 0x7E - QSS2 = 0x15 - QTOT = 0x02 - QTL2 = 0x12 - QTDE = 0x10 - QTM1 = 0x06 - QRSC = 0x03 - QRSE = 0x1C - QRDC = 0x14 - QRC2 = 0x0E - QRC3 = 0x04 - QRC4 = 0x1A - QRUS = 0x4B - QRSG = 0x04 - QRSH = 0x04 - QRA1 = 0x71 - QRM0 = 0x59 - QRM1 = 0x59 - QRA2 = 0x80 - QRIM = 0x40 - QRPC = 0x71 - QRFL = 0x40 - PERD = 0x04 - PMDC = 0x52 - PMC2 = 0x50 - PMC4 = 0x1A - PMC5 = 0x06 - PFC2 = 0x83 - PFVL = 0x09 - PFVH = 0xA2 - PFMC = 0x40 - PFC1 = 0x02 - PODA = Zero - PDTA = One - PDAM = Zero - PDAL = 0x20 - PSDM = Zero - PLTA = One - PLCD = 0x73 - PRSL = 0xAA - PPSC = 0x03 - PRC1 = 0x0D - PSC4 = Zero - PAC1 = Zero - If ((SIDV >= 0x00020000)){} - PPDC = 0x03 - PPSR = Zero - PCST = 0x03 - Local0 = PPPS /* \_SB_.PPPS */ - While ((Local0 & 0x40)) - { - Sleep (One) - TOUT++ - If ((TOUT == 0x0F)) - { - Break - } - - Local0 = PPPS /* \_SB_.PPPS */ - } - - If ((TOUT == 0x0F)) - { - Return (One) - } - Else - { - Return (Zero) - } - } - - Method (LTS0, 0, Serialized) - { - Name (TOUT, Zero) - Local0 = LC20 /* \_SB_.LC20 */ - Local0 |= 0x40 - LC20 = Local0 - PLT0 = 0x0100 - Local0 = EST0 /* \_SB_.EST0 */ - While (((Local0 & 0x0400) != 0x0400)) - { - Sleep (One) - TOUT++ - If ((TOUT == 0x96)) - { - Break - } - - Local0 = EST0 /* \_SB_.EST0 */ - } - - If ((TOUT == 0x96)) - { - Return (One) - } - Else - { - Return (Zero) - } - } - - Method (IAT0, 0, Serialized) - { - IAV0 = One - ILB0 = 0x60100000 - IUB0 = Zero - ILR0 = 0x601FFFFF - ILT0 = 0x01000000 - IUT0 = Zero - CR10 = 0x04 - CR20 = 0x80000000 - BNR0 = 0x00010100 - } - - Method (REB0, 2, Serialized) - { - Local0 = PSC0 /* \_SB_.PSC0 */ - Local0 &= 0xFBFFFFFF - PSC0 = Local0 - WBL0 = Arg0 - WBH0 = Zero - WLL0 = Arg1 - WLH0 = Zero - RBL0 = Arg0 - RBH0 = Zero - RLL0 = Arg1 - RLH0 = Zero - Local0 = PSC0 /* \_SB_.PSC0 */ - Local0 |= 0x04000000 - PSC0 = Local0 - } - - Method (EEB0, 2, Serialized) - { - Local0 = PSC0 /* \_SB_.PSC0 */ - Local0 &= 0xBFFFFFFF - PSC0 = Local0 - WBL1 = Arg0 - WBH1 = Zero - WLL1 = Arg1 - WLH1 = Zero - RBL1 = Arg0 - RBH1 = Zero - RLL1 = Arg1 - RLH1 = Zero - Local0 = PSC0 /* \_SB_.PSC0 */ - Local0 |= 0x40000000 - PSC0 = Local0 - } - - Name (E0LT, 0x600FFFFF) - Method (MSC0, 0, Serialized) - { - Local0 = SCR0 /* \_SB_.SCR0 */ - Local0 |= 0x02 - SCR0 = Local0 - PSL0 = 0x01000000 - Local0 = PPC0 /* \_SB_.PPC0 */ - Local0 &= 0xFFFFFFDF - PPC0 = Local0 - CSW0 = One - Local0 = LCA0 /* \_SB_.LCA0 */ - Local0 |= 0x00400000 - Local0 &= 0xFFFFFBFF - Local0 |= 0x0800 - LCA0 = Local0 - Local0 = CRI0 /* \_SB_.CRI0 */ - Local0 &= 0xFFFF - Local0 |= 0x06040000 - CRI0 = Local0 - ECS0 = One - R0B0 = Zero - R0B1 = Zero - ECS0 = Zero - CSW0 = Zero - PPEB = 0x60000000 - REB0 (0x60001000, E0LT) - EEB0 (0x60101000, 0x601FFFFF) - } - - Name (G0D3, Zero) - OperationRegion (CP08, SystemMemory, 0x01C08000, 0x1004) - Field (CP08, DWordAcc, NoLock, Preserve) - { - PSC1, 32, - Offset (0x20), - PPC1, 32, - PPS1, 32, - Offset (0x1B0), - PLT1, 32, - Offset (0x358), - PSL1, 32, - Offset (0x360), - LBW0, 32, - HBW0, 32, - LLW0, 32, - HLW0, 32, - LBR0, 32, - HBR0, 32, - LLR0, 32, - HLR0, 32, - PEB1, 32, - Offset (0x398), - LBW1, 32, - HBW1, 32, - LLW1, 32, - HLW1, 32, - LBR1, 32, - HBR1, 32, - LLR1, 32, - HLR1, 32, - Offset (0x1000), - PDT1, 32 - } - - OperationRegion (CP09, SystemMemory, 0x01C0A000, 0x026C) - Field (CP09, DWordAcc, NoLock, Preserve) - { - Offset (0x14), - HSEC, 32, - HAP1, 32, - HAP2, 32, - HSP1, 32, - HSP2, 32, - HSS1, 32, - HSS2, 32, - Offset (0x34), - HSM1, 32, - HSM2, 32, - Offset (0x54), - HECE, 32, - HCE1, 32, - HSCC, 32, - HSBE, 32, - HPLE, 32, - HCPI, 32, - C1M0, 32, - C2M0, 32, - C3M0, 32, - C1M1, 32, - C2M1, 32, - C3M1, 32, - Offset (0xB4), - HCM0, 32, - HCM1, 32, - Offset (0xC0), - HPR0, 32, - HPR1, 32, - HPR2, 32, - HPC0, 32, - HPC1, 32, - HPC2, 32, - Offset (0xDC), - HSES, 32, - Offset (0xF0), - HRC2, 32, - Offset (0xF8), - HCLC, 32, - Offset (0x100), - HRM0, 32, - Offset (0x108), - HRM1, 32, - Offset (0x11C), - S1M0, 32, - S2M0, 32, - S3M0, 32, - S1M1, 32, - S2M1, 32, - S3M1, 32, - Offset (0x150), - G0M0, 32, - Offset (0x158), - G0M1, 32, - Offset (0x178), - HCVT, 32, - Offset (0x1CC), - HCCS, 32, - HCHS, 32, - Offset (0x1E0), - HCDV, 32, - Offset (0x1E8), - HCCE, 32, - Offset (0x1F0), - HCCC, 32, - Offset (0x1FC), - HMCS, 32, - Offset (0x21C), - HDM1, 32, - Offset (0x224), - HCCM, 32, - HVD1, 32, - HVD2, 32 - } - - OperationRegion (CP10, SystemMemory, 0x01C0A800, 0x02F0) - Field (CP10, DWordAcc, NoLock, Preserve) - { - Offset (0x0C), - L0C0, 32, - L0C1, 32, - L0C2, 32, - L0TE, 32, - Offset (0x60), - L0BM, 32, - L0LM, 32, - Offset (0x7C), - L0PR, 32, - Offset (0xC0), - L0L0, 32, - L0L1, 32, - L0L2, 32, - Offset (0xD0), - L0R1, 32, - L0R2, 32, - L0M0, 32, - L0M1, 32, - L0M2, 32, - Offset (0xFC), - L0CD, 32, - L0VD, 32, - Offset (0x108), - L0X0, 32, - Offset (0x114), - L0TT, 32, - L0OT, 32, - L0RT, 32, - L0ET, 32, - L0VG, 32, - L0DG, 32, - Offset (0x130), - L0EG, 32, - L0OG, 32, - L0PG, 32, - L0IN, 32, - Offset (0x154), - L0EI, 32, - Offset (0x160), - L0DI, 32, - Offset (0x168), - L0B0, 32, - L0B1, 32, - Offset (0x178), - L0T1, 32, - Offset (0x180), - L0RC, 32, - L0F0, 32, - L0F1, 32, - L0F2, 32, - L0S0, 32, - L0S1, 32, - L0S2, 32, - L0SC, 32, - Offset (0x1A4), - L0RB, 32, - Offset (0x1C0), - L0P0, 32, - L0P1, 32, - L0P2, 32, - Offset (0x230), - L0SE, 32, - L0SN, 32, - L0SD, 32, - Offset (0x2A4), - L0DC, 32, - L0ST, 32, - L0RE, 32, - L0PC, 32, - Offset (0x2B8), - L0N0, 32, - Offset (0x2C0), - L0ER, 32, - L0HI, 32, - Offset (0x2CC), - L0RR, 32 - } - - OperationRegion (CP11, SystemMemory, 0x01C0B000, 0x02F0) - Field (CP11, DWordAcc, NoLock, Preserve) - { - Offset (0x0C), - L1C0, 32, - L1C1, 32, - L1C2, 32, - L1TE, 32, - Offset (0x60), - L1BM, 32, - L1LM, 32, - Offset (0x7C), - L1PR, 32, - Offset (0xC0), - L1L0, 32, - L1L1, 32, - L1L2, 32, - Offset (0xD0), - L1R1, 32, - L1R2, 32, - L1M0, 32, - L1M1, 32, - L1M2, 32, - Offset (0xFC), - L1CD, 32, - L1VD, 32, - Offset (0x108), - L1X0, 32, - Offset (0x114), - L1TT, 32, - L1OT, 32, - L1RT, 32, - L1ET, 32, - L1VG, 32, - L1DG, 32, - Offset (0x130), - L1EG, 32, - L1OG, 32, - L1PG, 32, - L1IN, 32, - Offset (0x154), - L1EI, 32, - Offset (0x160), - L1DI, 32, - Offset (0x168), - L1B0, 32, - L1B1, 32, - Offset (0x178), - L1T1, 32, - Offset (0x180), - L1RC, 32, - L1F0, 32, - L1F1, 32, - L1F2, 32, - L1S0, 32, - L1S1, 32, - L1S2, 32, - L1SC, 32, - Offset (0x1A4), - L1RB, 32, - Offset (0x1C0), - L1P0, 32, - L1P1, 32, - L1P2, 32, - Offset (0x230), - L1SE, 32, - L1SN, 32, - L1SD, 32, - Offset (0x2A4), - L1DC, 32, - L1ST, 32, - L1RE, 32, - L1PC, 32, - Offset (0x2B8), - L1N0, 32, - Offset (0x2C0), - L1ER, 32, - L1HI, 32, - Offset (0x2CC), - L1RR, 32 - } - - OperationRegion (CP12, SystemMemory, 0x01C0B800, 0x02DC) - Field (CP12, DWordAcc, NoLock, Preserve) - { - HPSR, 32, - HPDC, 32, - HSTC, 32, - Offset (0x2C), - HTM3, 32, - Offset (0x40), - HTP3, 32, - Offset (0x54), - HTM6, 32, - Offset (0x68), - HTP6, 32, - Offset (0x15C), - HPSG, 32, - Offset (0x174), - HTRC, 32, - Offset (0x2AC), - HPST, 32 - } - - OperationRegion (CP13, SystemMemory, 0x40000000, 0x1000) - Field (CP13, DWordAcc, NoLock, Preserve) - { - Offset (0x04), - SCR1, 32, - CRI1, 32, - Offset (0x10), - R1B0, 32, - R1B1, 32, - BNR1, 32, - Offset (0x7C), - LCA1, 32, - LCS1, 32, - SCA1, 32, - SLC1, 32, - Offset (0xA0), - LC21, 32, - Offset (0x154), - P1PR, 32, - Offset (0x710), - GPLC, 32, - Offset (0x80C), - G32C, 32, - Offset (0x8A8), - GEQC, 32, - GMDC, 32, - Offset (0x8BC), - CSW1, 32, - Offset (0x900), - IAV1, 32, - CR11, 32, - CR21, 32, - ILB1, 32, - IUB1, 32, - ILR1, 32, - ILT1, 32, - IUT1, 32, - Offset (0xF24), - ESC1, 32, - EST1, 32, - Offset (0xFC4), - ECS1, 32 - } - - OperationRegion (CP14, SystemMemory, 0x03971000, 0x10) - Field (CP14, DWordAcc, NoLock, Preserve) - { - C113, 32, - I113, 32, - N113, 32, - S113, 32 - } - - OperationRegion (CP15, SystemMemory, 0x0016B000, 0x1020) - Field (CP15, DWordAcc, NoLock, Preserve) - { - GP0B, 32, - Offset (0x101C), - G0PB, 32 - } - - OperationRegion (CP16, SystemMemory, 0x0018D000, 0x1030) - Field (CP16, DWordAcc, NoLock, Preserve) - { - GP1B, 32, - Offset (0x1014), - G1LB, 32, - Offset (0x101C), - G1PB, 32, - G1NB, 32 - } - - Method (PPU1, 0, Serialized) - { - Name (TOUT, Zero) - PDT1 = 0x04 - HPDC = 0x03 - HSES = 0x27 - HSEC = One - HSP1 = 0x31 - HSP2 = One - HSS1 = 0xDE - HSS2 = 0x07 - HSM1 = 0x4C - HSM2 = 0x06 - HECE = 0x18 - HCE1 = 0xB0 - C1M0 = 0x8C - C2M0 = 0x20 - C1M1 = 0x14 - C2M1 = 0x34 - HCM0 = 0x06 - HCM1 = 0x06 - HPR0 = 0x16 - HPR1 = 0x16 - HPC0 = 0x36 - HPC1 = 0x36 - HRC2 = 0x05 - HCLC = 0x42 - HRM0 = 0x82 - HRM1 = 0x68 - S1M0 = 0x55 - S2M0 = 0x55 - S3M0 = 0x03 - S1M1 = 0xAB - S2M1 = 0xAA - S3M1 = 0x02 - G0M0 = 0x3F - G0M1 = 0x3F - HCVT = 0x10 - HCCS = Zero - HCHS = 0x30 - HCDV = 0x04 - HCCE = 0x73 - HCCC = 0x1C - HMCS = 0x15 - HDM1 = 0x04 - HCCM = One - HVD1 = 0x22 - HVD2 = Zero - L0C0 = Zero - L0TE = 0x0D - L0BM = One - L0LM = 0x3A - L0PR = 0x2F - L0L0 = 0x09 - L0L1 = 0x09 - L0L2 = 0x1A - L0R1 = One - L0R2 = 0x07 - L0M0 = 0x31 - L0M1 = 0x31 - L0M2 = 0x03 - L0CD = 0x02 - L0VD = One - L0X0 = 0x12 - L0TT = 0x25 - L0OT = Zero - L0RT = 0x05 - L0ET = One - L0VG = 0x26 - L0DG = 0x12 - L0EG = 0x04 - L0OG = 0x04 - L0PG = 0x09 - L0EI = 0x15 - L0DI = 0x32 - L0B0 = 0x7F - L0B1 = 0x07 - L0T1 = 0x04 - L0RC = 0x70 - L0F0 = 0x08 - L0F1 = 0x08 - L0F2 = 0x09 - L0S0 = 0x04 - L0S1 = 0x04 - L0S2 = 0x02 - L0SC = 0x0C - L0RB = 0x02 - L0P0 = 0x5C - L0P1 = 0x3E - L0P2 = 0x3F - L0SE = 0x21 - L0SN = 0xA0 - L0SD = 0x08 - L0DC = One - L0RE = 0xC3 - L0PC = Zero - L0N0 = 0x8C - L0ER = 0x7F - L0HI = 0x2A - L0C1 = 0x0C - L0C2 = Zero - L0RR = 0x02 - L0IN = 0x20 - L1C0 = Zero - L1TE = 0x0D - L1BM = One - L1LM = 0x3A - L1PR = 0x2F - L1L0 = 0x09 - L1L1 = 0x09 - L1L2 = 0x1A - L1R1 = One - L1R2 = 0x07 - L1M0 = 0x31 - L1M1 = 0x31 - L1M2 = 0x03 - L1CD = 0x02 - L1VD = One - L1X0 = 0x12 - L1TT = 0x25 - L1OT = Zero - L1RT = 0x05 - L1ET = One - L1VG = 0x26 - L1DG = 0x12 - L1EG = 0x04 - L1OG = 0x04 - L1PG = 0x09 - L1EI = 0x15 - L1DI = 0x32 - L1B0 = 0x7F - L1B1 = 0x07 - L1T1 = 0x04 - L1RC = 0x70 - L1F0 = 0x08 - L1F1 = 0x08 - L1F2 = 0x09 - L1S0 = 0x04 - L1S1 = 0x04 - L1S2 = 0x02 - L1SC = 0x0C - L1RB = 0x02 - L1P0 = 0x5C - L1P1 = 0x3E - L1P2 = 0x3F - L1SE = 0x21 - L1SN = 0xA0 - L1SD = 0x08 - L1DC = One - L1RE = 0xC3 - L1PC = Zero - L1N0 = 0x8C - L1ER = 0x7F - L1HI = 0x2A - L1C1 = 0x0C - L1C2 = Zero - L1RR = 0x02 - L1IN = 0x20 - HPSG = 0x3F - HTRC = 0x58 - HTM3 = 0x19 - HTP3 = 0x07 - HTM6 = 0x17 - HTP6 = 0x09 - If ((SIDV >= 0x00020000)){} - HPSR = Zero - L0ST = One - L1ST = One - HSTC = One - Local0 = HPST /* \_SB_.HPST */ - While ((Local0 & 0x40)) - { - Sleep (One) - TOUT++ - If ((TOUT == 0x0F)) - { - Break - } - - Local0 = HPST /* \_SB_.HPST */ - } - - If ((TOUT == 0x0F)) - { - Return (One) - } - Else - { - Return (Zero) - } - } - - Method (LTS1, 0, Serialized) - { - Name (TOUT, Zero) - Local0 = G32C /* \_SB_.G32C */ - Local0 &= 0xFFFFE0FF - Local0 |= 0x0100 - G32C = Local0 - GMDC = 0x000155A0 - Local0 = GEQC /* \_SB_.GEQC */ - Local0 &= 0xFFFFFFEF - GEQC = Local0 - CSW1 = One - P1PR = 0x77777777 - CSW1 = Zero - Local0 = GPLC /* \_SB_.GPLC */ - Local0 &= 0xFFC0F0FF - Local0 |= 0x00030300 - GPLC = Local0 - PLT1 = 0x0100 - Local0 = EST1 /* \_SB_.EST1 */ - While (((Local0 & 0x0400) != 0x0400)) - { - Sleep (One) - TOUT++ - If ((TOUT == 0x96)) - { - Break - } - - Local0 = EST1 /* \_SB_.EST1 */ - } - - If ((TOUT == 0x96)) - { - Return (One) - } - Else - { - Return (Zero) - } - } - - Method (IAT1, 0, Serialized) - { - IAV1 = One - ILB1 = 0x40100000 - IUB1 = Zero - ILR1 = 0x401FFFFF - ILT1 = 0x01000000 - IUT1 = Zero - CR11 = 0x04 - CR21 = 0x80000000 - BNR1 = 0x00010100 - } - - Method (REB1, 2, Serialized) - { - Local0 = PSC1 /* \_SB_.PSC1 */ - Local0 &= 0xFBFFFFFF - PSC1 = Local0 - LBW0 = Arg0 - HBW0 = Zero - LLW0 = Arg1 - HLW0 = Zero - LBR0 = Arg0 - HBR0 = Zero - LLR0 = Arg1 - HLR0 = Zero - Local0 = PSC1 /* \_SB_.PSC1 */ - Local0 |= 0x04000000 - PSC1 = Local0 - } - - Method (EEB1, 2, Serialized) - { - Local0 = PSC1 /* \_SB_.PSC1 */ - Local0 &= 0xBFFFFFFF - PSC1 = Local0 - LBW1 = Arg0 - HBW1 = Zero - LLW1 = Arg1 - HLW1 = Zero - LBR1 = Arg0 - HBR1 = Zero - LLR1 = Arg1 - HLR1 = Zero - Local0 = PSC1 /* \_SB_.PSC1 */ - Local0 |= 0x40000000 - PSC1 = Local0 - } - - Name (E1LT, 0x400FFFFF) - Method (MSC1, 0, Serialized) - { - Local0 = SCR1 /* \_SB_.SCR1 */ - Local0 |= 0x02 - SCR1 = Local0 - PSL1 = 0x20000000 - Local0 = PPC1 /* \_SB_.PPC1 */ - Local0 &= 0xFFFFFFDF - PPC1 = Local0 - CSW1 = One - Local0 = LCA1 /* \_SB_.LCA1 */ - Local0 |= 0x00400000 - Local0 |= 0x0C00 - LCA1 = Local0 - Local0 = CRI1 /* \_SB_.CRI1 */ - Local0 &= 0xFFFF - Local0 |= 0x06040000 - CRI1 = Local0 - Local0 = SCA1 /* \_SB_.SCA1 */ - Local0 &= 0xFFFFFFBF - SCA1 = Local0 - CSW1 = Zero - ECS1 = One - R1B0 = Zero - R1B1 = Zero - ECS1 = Zero - PEB1 = 0x40000000 - REB1 (0x40001000, E1LT) - EEB1 (0x40101000, 0x401FFFFF) - } - - Name (G1D3, Zero) - Device (IPC0) - { - Name (_DEP, Package (0x01) // _DEP: Dependencies - { - GLNK - }) - Name (_HID, "QCOM021C") // _HID: Hardware ID - Alias (PSUB, _SUB) - } - - Device (GLNK) - { - Name (_DEP, Package (0x01) // _DEP: Dependencies - { - RPEN - }) - Name (_HID, "QCOM02F9") // _HID: Hardware ID - Alias (PSUB, _SUB) - Name (_UID, Zero) // _UID: Unique ID - Method (_CRS, 0, NotSerialized) // _CRS: Current Resource Settings - { - Name (RBUF, ResourceTemplate () - { - Interrupt (ResourceConsumer, Edge, ActiveHigh, Exclusive, ,, ) - { - 0x000001E3, - } - Interrupt (ResourceConsumer, Edge, ActiveHigh, Exclusive, ,, ) - { - 0x000000BE, - } - Interrupt (ResourceConsumer, Edge, ActiveHigh, Exclusive, ,, ) - { - 0x000000CC, - } - Interrupt (ResourceConsumer, Edge, ActiveHigh, Exclusive, ,, ) - { - 0x00000260, - } - Interrupt (ResourceConsumer, Edge, ActiveHigh, Exclusive, ,, ) - { - 0x000001E1, - } - Interrupt (ResourceConsumer, Edge, ActiveHigh, Exclusive, ,, ) - { - 0x000000BC, - } - Interrupt (ResourceConsumer, Edge, ActiveHigh, Exclusive, ,, ) - { - 0x000000CA, - } - Interrupt (ResourceConsumer, Edge, ActiveHigh, Exclusive, ,, ) - { - 0x0000025E, - } - }) - Return (RBUF) /* \_SB_.GLNK._CRS.RBUF */ - } - } - - Device (ARPC) - { - Name (_DEP, Package (0x03) // _DEP: Dependencies - { - MMU0, - GLNK, - SCM0 - }) - Name (_HID, "QCOM0297") // _HID: Hardware ID - Alias (PSUB, _SUB) - } - - Device (ARPD) - { - Name (_DEP, Package (0x02) // _DEP: Dependencies - { - ADSP, - ARPC - }) - Name (_HID, "QCOM02F3") // _HID: Hardware ID - Alias (PSUB, _SUB) - } - - Device (RFS0) - { - Name (_DEP, Package (0x02) // _DEP: Dependencies - { - IPC0, - UFS0 - }) - Name (_HID, "QCOM0235") // _HID: Hardware ID - Alias (PSUB, _SUB) - Method (_CRS, 0, NotSerialized) // _CRS: Current Resource Settings - { - Name (RBUF, ResourceTemplate () - { - Memory32Fixed (ReadWrite, - 0x88888888, // Address Base - 0x99999999, // Address Length - _Y00) - Memory32Fixed (ReadWrite, - 0x11111111, // Address Base - 0x22222222, // Address Length - _Y01) - Memory32Fixed (ReadWrite, - 0x33333333, // Address Base - 0x44444444, // Address Length - _Y02) - }) - CreateDWordField (RBUF, \_SB.RFS0._CRS._Y00._BAS, RMTA) // _BAS: Base Address - CreateDWordField (RBUF, \_SB.RFS0._CRS._Y00._LEN, RMTL) // _LEN: Length - CreateDWordField (RBUF, \_SB.RFS0._CRS._Y01._BAS, RFMA) // _BAS: Base Address - CreateDWordField (RBUF, \_SB.RFS0._CRS._Y01._LEN, RFML) // _LEN: Length - CreateDWordField (RBUF, \_SB.RFS0._CRS._Y02._BAS, RFAA) // _BAS: Base Address - CreateDWordField (RBUF, \_SB.RFS0._CRS._Y02._LEN, RFAL) // _LEN: Length - RMTA = RMTB /* \_SB_.RMTB */ - RMTL = RMTX /* \_SB_.RMTX */ - RFMA = RFMB /* \_SB_.RFMB */ - RFML = RFMS /* \_SB_.RFMS */ - RFAA = RFAB /* \_SB_.RFAB */ - RFAL = RFAS /* \_SB_.RFAS */ - Return (RBUF) /* \_SB_.RFS0._CRS.RBUF */ - } - - Method (_STA, 0, NotSerialized) // _STA: Status - { - Return (0x0B) - } - } - - Device (IPA) - { - Name (_DEP, Package (0x06) // _DEP: Dependencies - { - PEP0, - RPEN, - PILC, - MMU0, - GSI, - GLNK - }) - Name (_HID, "QCOM02B3") // _HID: Hardware ID - Alias (PSUB, _SUB) - Name (_UID, Zero) // _UID: Unique ID - Method (_CRS, 0, NotSerialized) // _CRS: Current Resource Settings - { - Return (ResourceTemplate () - { - Memory32Fixed (ReadWrite, - 0x01E40000, // Address Base - 0x0001FFFF, // Address Length - ) - Interrupt (ResourceConsumer, Edge, ActiveHigh, Exclusive, ,, ) - { - 0x00000157, - } - }) - } - } - - Device (GSI) - { - Name (_DEP, Package (0x01) // _DEP: Dependencies - { - PEP0 - }) - Name (_HID, "QCOM02E7") // _HID: Hardware ID - Alias (PSUB, _SUB) - Name (_UID, Zero) // _UID: Unique ID - Method (_CRS, 0, NotSerialized) // _CRS: Current Resource Settings - { - Name (RBUF, ResourceTemplate () - { - Memory32Fixed (ReadWrite, - 0x01E00000, // Address Base - 0x00030000, // Address Length - ) - Interrupt (ResourceConsumer, Edge, ActiveHigh, Exclusive, ,, ) - { - 0x000001D0, - } - }) - Return (RBUF) /* \_SB_.GSI_._CRS.RBUF */ - } - } - - Device (QDIG) - { - Name (_DEP, Package (0x01) // _DEP: Dependencies - { - GLNK - }) - Name (_HID, "QCOM0225") // _HID: Hardware ID - Alias (PSUB, _SUB) - } - - Device (QCDB) - { - Name (_HID, "QCOM0298") // _HID: Hardware ID - Alias (PSUB, _SUB) - } - - Device (SYSM) - { - Name (_HID, "ACPI0010" /* Processor Container Device */) // _HID: Hardware ID - Name (_UID, 0x00100000) // _UID: Unique ID - Name (_LPI, Package (0x05) // _LPI: Low Power Idle States - { - Zero, - 0x01000000, - 0x02, - Package (0x0A) - { - 0x251C, - 0x1770, - Zero, - 0x20, - Zero, - Zero, - 0x3300, - ResourceTemplate () - { - Register (SystemMemory, - 0x00, // Bit Width - 0x00, // Bit Offset - 0x0000000000000000, // Address - ,) - }, - - ResourceTemplate () - { - Register (SystemMemory, - 0x00, // Bit Width - 0x00, // Bit Offset - 0x0000000000000000, // Address - ,) - }, - - "platform.F1" - }, - - Package (0x0A) - { - 0x2710, - 0x19C8, - One, - 0x20, - Zero, - Zero, - 0xC300, - ResourceTemplate () - { - Register (SystemMemory, - 0x00, // Bit Width - 0x00, // Bit Offset - 0x0000000000000000, // Address - ,) - }, - - ResourceTemplate () - { - Register (SystemMemory, - 0x00, // Bit Width - 0x00, // Bit Offset - 0x0000000000000000, // Address - ,) - }, - - "platform.F2" - } - }) - Device (CLUS) - { - Name (_HID, "ACPI0010" /* Processor Container Device */) // _HID: Hardware ID - Name (_UID, 0x10) // _UID: Unique ID - Name (_LPI, Package (0x05) // _LPI: Low Power Idle States - { - Zero, - 0x01000000, - 0x02, - Package (0x0A) - { - 0x170C, - 0x0BB8, - Zero, - Zero, - Zero, - Zero, - 0x20, - ResourceTemplate () - { - Register (SystemMemory, - 0x00, // Bit Width - 0x00, // Bit Offset - 0x0000000000000000, // Address - ,) - }, - - ResourceTemplate () - { - Register (SystemMemory, - 0x00, // Bit Width - 0x00, // Bit Offset - 0x0000000000000000, // Address - ,) - }, - - "L3Cluster.D2" - }, - - Package (0x0A) - { - 0x1770, - 0x0CE4, - One, - Zero, - Zero, - 0x02, - 0x40, - ResourceTemplate () - { - Register (SystemMemory, - 0x00, // Bit Width - 0x00, // Bit Offset - 0x0000000000000000, // Address - ,) - }, - - ResourceTemplate () - { - Register (SystemMemory, - 0x00, // Bit Width - 0x00, // Bit Offset - 0x0000000000000000, // Address - ,) - }, - - "L3Cluster.D4" - } - }) - Device (CPU0) - { - Name (_HID, "ACPI0007" /* Processor Device */) // _HID: Hardware ID - Name (_UID, Zero) // _UID: Unique ID - Method (_STA, 0, NotSerialized) // _STA: Status - { - Return (0x0F) - } - - Name (_LPI, Package (0x07) // _LPI: Low Power Idle States - { - Zero, - Zero, - 0x04, - Package (0x0A) - { - Zero, - Zero, - One, - Zero, - Zero, - Zero, - ResourceTemplate () - { - Register (FFixedHW, - 0x20, // Bit Width - 0x00, // Bit Offset - 0x00000000FFFFFFFF, // Address - 0x03, // Access Size - ) - }, - - ResourceTemplate () - { - Register (SystemMemory, - 0x00, // Bit Width - 0x00, // Bit Offset - 0x0000000000000000, // Address - ,) - }, - - ResourceTemplate () - { - Register (SystemMemory, - 0x00, // Bit Width - 0x00, // Bit Offset - 0x0000000000000000, // Address - ,) - }, - - "KryoSilver0.C1" - }, - - Package (0x0A) - { - 0x0190, - 0x64, - Zero, - Zero, - Zero, - One, - ResourceTemplate () - { - Register (FFixedHW, - 0x20, // Bit Width - 0x00, // Bit Offset - 0x0000000000000002, // Address - 0x03, // Access Size - ) - }, - - ResourceTemplate () - { - Register (SystemMemory, - 0x00, // Bit Width - 0x00, // Bit Offset - 0x0000000000000000, // Address - ,) - }, - - ResourceTemplate () - { - Register (SystemMemory, - 0x00, // Bit Width - 0x00, // Bit Offset - 0x0000000000000000, // Address - ,) - }, - - "KryoSilver0.C2" - }, - - Package (0x0A) - { - 0x1388, - 0x01F4, - One, - One, - Zero, - One, - ResourceTemplate () - { - Register (FFixedHW, - 0x20, // Bit Width - 0x00, // Bit Offset - 0x0000000040000003, // Address - 0x03, // Access Size - ) - }, - - ResourceTemplate () - { - Register (SystemMemory, - 0x00, // Bit Width - 0x00, // Bit Offset - 0x0000000000000000, // Address - ,) - }, - - ResourceTemplate () - { - Register (SystemMemory, - 0x00, // Bit Width - 0x00, // Bit Offset - 0x0000000000000000, // Address - ,) - }, - - "KryoSilver0.C3" - }, - - Package (0x0A) - { - 0x13EC, - 0x0226, - One, - One, - Zero, - 0x02, - ResourceTemplate () - { - Register (FFixedHW, - 0x20, // Bit Width - 0x00, // Bit Offset - 0x0000000040000004, // Address - 0x03, // Access Size - ) - }, - - ResourceTemplate () - { - Register (SystemMemory, - 0x00, // Bit Width - 0x00, // Bit Offset - 0x0000000000000000, // Address - ,) - }, - - ResourceTemplate () - { - Register (SystemMemory, - 0x00, // Bit Width - 0x00, // Bit Offset - 0x0000000000000000, // Address - ,) - }, - - "KryoSilver0.C4" - } - }) - } - - Device (CPU1) - { - Name (_HID, "ACPI0007" /* Processor Device */) // _HID: Hardware ID - Name (_UID, One) // _UID: Unique ID - Method (_STA, 0, NotSerialized) // _STA: Status - { - Return (0x0F) - } - - Name (_LPI, Package (0x07) // _LPI: Low Power Idle States - { - Zero, - Zero, - 0x04, - Package (0x0A) - { - Zero, - Zero, - One, - Zero, - Zero, - Zero, - ResourceTemplate () - { - Register (FFixedHW, - 0x20, // Bit Width - 0x00, // Bit Offset - 0x00000000FFFFFFFF, // Address - 0x03, // Access Size - ) - }, - - ResourceTemplate () - { - Register (SystemMemory, - 0x00, // Bit Width - 0x00, // Bit Offset - 0x0000000000000000, // Address - ,) - }, - - ResourceTemplate () - { - Register (SystemMemory, - 0x00, // Bit Width - 0x00, // Bit Offset - 0x0000000000000000, // Address - ,) - }, - - "KryoSilver1.C1" - }, - - Package (0x0A) - { - 0x0190, - 0x64, - Zero, - Zero, - Zero, - One, - ResourceTemplate () - { - Register (FFixedHW, - 0x20, // Bit Width - 0x00, // Bit Offset - 0x0000000000000002, // Address - 0x03, // Access Size - ) - }, - - ResourceTemplate () - { - Register (SystemMemory, - 0x00, // Bit Width - 0x00, // Bit Offset - 0x0000000000000000, // Address - ,) - }, - - ResourceTemplate () - { - Register (SystemMemory, - 0x00, // Bit Width - 0x00, // Bit Offset - 0x0000000000000000, // Address - ,) - }, - - "KryoSilver1.C2" - }, - - Package (0x0A) - { - 0x1388, - 0x01F4, - One, - One, - Zero, - One, - ResourceTemplate () - { - Register (FFixedHW, - 0x20, // Bit Width - 0x00, // Bit Offset - 0x0000000040000003, // Address - 0x03, // Access Size - ) - }, - - ResourceTemplate () - { - Register (SystemMemory, - 0x00, // Bit Width - 0x00, // Bit Offset - 0x0000000000000000, // Address - ,) - }, - - ResourceTemplate () - { - Register (SystemMemory, - 0x00, // Bit Width - 0x00, // Bit Offset - 0x0000000000000000, // Address - ,) - }, - - "KryoSilver1.C3" - }, - - Package (0x0A) - { - 0x13EC, - 0x0226, - One, - One, - Zero, - 0x02, - ResourceTemplate () - { - Register (FFixedHW, - 0x20, // Bit Width - 0x00, // Bit Offset - 0x0000000040000004, // Address - 0x03, // Access Size - ) - }, - - ResourceTemplate () - { - Register (SystemMemory, - 0x00, // Bit Width - 0x00, // Bit Offset - 0x0000000000000000, // Address - ,) - }, - - ResourceTemplate () - { - Register (SystemMemory, - 0x00, // Bit Width - 0x00, // Bit Offset - 0x0000000000000000, // Address - ,) - }, - - "KryoSilver1.C4" - } - }) - } - - Device (CPU2) - { - Name (_HID, "ACPI0007" /* Processor Device */) // _HID: Hardware ID - Name (_UID, 0x02) // _UID: Unique ID - Method (_STA, 0, NotSerialized) // _STA: Status - { - Return (0x0F) - } - - Name (_LPI, Package (0x07) // _LPI: Low Power Idle States - { - Zero, - Zero, - 0x04, - Package (0x0A) - { - Zero, - Zero, - One, - Zero, - Zero, - Zero, - ResourceTemplate () - { - Register (FFixedHW, - 0x20, // Bit Width - 0x00, // Bit Offset - 0x00000000FFFFFFFF, // Address - 0x03, // Access Size - ) - }, - - ResourceTemplate () - { - Register (SystemMemory, - 0x00, // Bit Width - 0x00, // Bit Offset - 0x0000000000000000, // Address - ,) - }, - - ResourceTemplate () - { - Register (SystemMemory, - 0x00, // Bit Width - 0x00, // Bit Offset - 0x0000000000000000, // Address - ,) - }, - - "KryoSilver2.C1" - }, - - Package (0x0A) - { - 0x0190, - 0x64, - Zero, - Zero, - Zero, - One, - ResourceTemplate () - { - Register (FFixedHW, - 0x20, // Bit Width - 0x00, // Bit Offset - 0x0000000000000002, // Address - 0x03, // Access Size - ) - }, - - ResourceTemplate () - { - Register (SystemMemory, - 0x00, // Bit Width - 0x00, // Bit Offset - 0x0000000000000000, // Address - ,) - }, - - ResourceTemplate () - { - Register (SystemMemory, - 0x00, // Bit Width - 0x00, // Bit Offset - 0x0000000000000000, // Address - ,) - }, - - "KryoSilver2.C2" - }, - - Package (0x0A) - { - 0x1388, - 0x01F4, - One, - One, - Zero, - One, - ResourceTemplate () - { - Register (FFixedHW, - 0x20, // Bit Width - 0x00, // Bit Offset - 0x0000000040000003, // Address - 0x03, // Access Size - ) - }, - - ResourceTemplate () - { - Register (SystemMemory, - 0x00, // Bit Width - 0x00, // Bit Offset - 0x0000000000000000, // Address - ,) - }, - - ResourceTemplate () - { - Register (SystemMemory, - 0x00, // Bit Width - 0x00, // Bit Offset - 0x0000000000000000, // Address - ,) - }, - - "KryoSilver2.C3" - }, - - Package (0x0A) - { - 0x13EC, - 0x0226, - One, - One, - Zero, - 0x02, - ResourceTemplate () - { - Register (FFixedHW, - 0x20, // Bit Width - 0x00, // Bit Offset - 0x0000000040000004, // Address - 0x03, // Access Size - ) - }, - - ResourceTemplate () - { - Register (SystemMemory, - 0x00, // Bit Width - 0x00, // Bit Offset - 0x0000000000000000, // Address - ,) - }, - - ResourceTemplate () - { - Register (SystemMemory, - 0x00, // Bit Width - 0x00, // Bit Offset - 0x0000000000000000, // Address - ,) - }, - - "KryoSilver2.C4" - } - }) - } - - Device (CPU3) - { - Name (_HID, "ACPI0007" /* Processor Device */) // _HID: Hardware ID - Name (_UID, 0x03) // _UID: Unique ID - Method (_STA, 0, NotSerialized) // _STA: Status - { - Return (0x0F) - } - - Name (_LPI, Package (0x07) // _LPI: Low Power Idle States - { - Zero, - Zero, - 0x04, - Package (0x0A) - { - Zero, - Zero, - One, - Zero, - Zero, - Zero, - ResourceTemplate () - { - Register (FFixedHW, - 0x20, // Bit Width - 0x00, // Bit Offset - 0x00000000FFFFFFFF, // Address - 0x03, // Access Size - ) - }, - - ResourceTemplate () - { - Register (SystemMemory, - 0x00, // Bit Width - 0x00, // Bit Offset - 0x0000000000000000, // Address - ,) - }, - - ResourceTemplate () - { - Register (SystemMemory, - 0x00, // Bit Width - 0x00, // Bit Offset - 0x0000000000000000, // Address - ,) - }, - - "KryoSilver3.C1" - }, - - Package (0x0A) - { - 0x0190, - 0x64, - Zero, - Zero, - Zero, - One, - ResourceTemplate () - { - Register (FFixedHW, - 0x20, // Bit Width - 0x00, // Bit Offset - 0x0000000000000002, // Address - 0x03, // Access Size - ) - }, - - ResourceTemplate () - { - Register (SystemMemory, - 0x00, // Bit Width - 0x00, // Bit Offset - 0x0000000000000000, // Address - ,) - }, - - ResourceTemplate () - { - Register (SystemMemory, - 0x00, // Bit Width - 0x00, // Bit Offset - 0x0000000000000000, // Address - ,) - }, - - "KryoSilver3.C2" - }, - - Package (0x0A) - { - 0x1388, - 0x01F4, - One, - One, - Zero, - One, - ResourceTemplate () - { - Register (FFixedHW, - 0x20, // Bit Width - 0x00, // Bit Offset - 0x0000000040000003, // Address - 0x03, // Access Size - ) - }, - - ResourceTemplate () - { - Register (SystemMemory, - 0x00, // Bit Width - 0x00, // Bit Offset - 0x0000000000000000, // Address - ,) - }, - - ResourceTemplate () - { - Register (SystemMemory, - 0x00, // Bit Width - 0x00, // Bit Offset - 0x0000000000000000, // Address - ,) - }, - - "KryoSilver3.C3" - }, - - Package (0x0A) - { - 0x13EC, - 0x0226, - One, - One, - Zero, - 0x02, - ResourceTemplate () - { - Register (FFixedHW, - 0x20, // Bit Width - 0x00, // Bit Offset - 0x0000000040000004, // Address - 0x03, // Access Size - ) - }, - - ResourceTemplate () - { - Register (SystemMemory, - 0x00, // Bit Width - 0x00, // Bit Offset - 0x0000000000000000, // Address - ,) - }, - - ResourceTemplate () - { - Register (SystemMemory, - 0x00, // Bit Width - 0x00, // Bit Offset - 0x0000000000000000, // Address - ,) - }, - - "KryoSilver3.C4" - } - }) - } - - Device (CPU4) - { - Name (_HID, "ACPI0007" /* Processor Device */) // _HID: Hardware ID - Name (_UID, 0x04) // _UID: Unique ID - Method (_STA, 0, NotSerialized) // _STA: Status - { - Return (0x0F) - } - - Name (_LPI, Package (0x07) // _LPI: Low Power Idle States - { - Zero, - Zero, - 0x04, - Package (0x0A) - { - Zero, - Zero, - One, - Zero, - Zero, - Zero, - ResourceTemplate () - { - Register (FFixedHW, - 0x20, // Bit Width - 0x00, // Bit Offset - 0x00000000FFFFFFFF, // Address - 0x03, // Access Size - ) - }, - - ResourceTemplate () - { - Register (SystemMemory, - 0x00, // Bit Width - 0x00, // Bit Offset - 0x0000000000000000, // Address - ,) - }, - - ResourceTemplate () - { - Register (SystemMemory, - 0x00, // Bit Width - 0x00, // Bit Offset - 0x0000000000000000, // Address - ,) - }, - - "KryoGold0.C1" - }, - - Package (0x0A) - { - 0x0190, - 0x64, - Zero, - Zero, - Zero, - One, - ResourceTemplate () - { - Register (FFixedHW, - 0x20, // Bit Width - 0x00, // Bit Offset - 0x0000000000000002, // Address - 0x03, // Access Size - ) - }, - - ResourceTemplate () - { - Register (SystemMemory, - 0x00, // Bit Width - 0x00, // Bit Offset - 0x0000000000000000, // Address - ,) - }, - - ResourceTemplate () - { - Register (SystemMemory, - 0x00, // Bit Width - 0x00, // Bit Offset - 0x0000000000000000, // Address - ,) - }, - - "KryoGold0.C2" - }, - - Package (0x0A) - { - 0x03E8, - 0x028A, - One, - One, - Zero, - One, - ResourceTemplate () - { - Register (FFixedHW, - 0x20, // Bit Width - 0x00, // Bit Offset - 0x0000000040000003, // Address - 0x03, // Access Size - ) - }, - - ResourceTemplate () - { - Register (SystemMemory, - 0x00, // Bit Width - 0x00, // Bit Offset - 0x0000000000000000, // Address - ,) - }, - - ResourceTemplate () - { - Register (SystemMemory, - 0x00, // Bit Width - 0x00, // Bit Offset - 0x0000000000000000, // Address - ,) - }, - - "KryoGold0.C3" - }, - - Package (0x0A) - { - 0x05DC, - 0x044C, - One, - One, - Zero, - 0x02, - ResourceTemplate () - { - Register (FFixedHW, - 0x20, // Bit Width - 0x00, // Bit Offset - 0x0000000040000004, // Address - 0x03, // Access Size - ) - }, - - ResourceTemplate () - { - Register (SystemMemory, - 0x00, // Bit Width - 0x00, // Bit Offset - 0x0000000000000000, // Address - ,) - }, - - ResourceTemplate () - { - Register (SystemMemory, - 0x00, // Bit Width - 0x00, // Bit Offset - 0x0000000000000000, // Address - ,) - }, - - "KryoGold0.C4" - } - }) - } - - Device (CPU5) - { - Name (_HID, "ACPI0007" /* Processor Device */) // _HID: Hardware ID - Name (_UID, 0x05) // _UID: Unique ID - Method (_STA, 0, NotSerialized) // _STA: Status - { - Return (0x0F) - } - - Name (_LPI, Package (0x07) // _LPI: Low Power Idle States - { - Zero, - Zero, - 0x04, - Package (0x0A) - { - Zero, - Zero, - One, - Zero, - Zero, - Zero, - ResourceTemplate () - { - Register (FFixedHW, - 0x20, // Bit Width - 0x00, // Bit Offset - 0x00000000FFFFFFFF, // Address - 0x03, // Access Size - ) - }, - - ResourceTemplate () - { - Register (SystemMemory, - 0x00, // Bit Width - 0x00, // Bit Offset - 0x0000000000000000, // Address - ,) - }, - - ResourceTemplate () - { - Register (SystemMemory, - 0x00, // Bit Width - 0x00, // Bit Offset - 0x0000000000000000, // Address - ,) - }, - - "KryoGold1.C1" - }, - - Package (0x0A) - { - 0x0190, - 0x64, - Zero, - Zero, - Zero, - One, - ResourceTemplate () - { - Register (FFixedHW, - 0x20, // Bit Width - 0x00, // Bit Offset - 0x0000000000000002, // Address - 0x03, // Access Size - ) - }, - - ResourceTemplate () - { - Register (SystemMemory, - 0x00, // Bit Width - 0x00, // Bit Offset - 0x0000000000000000, // Address - ,) - }, - - ResourceTemplate () - { - Register (SystemMemory, - 0x00, // Bit Width - 0x00, // Bit Offset - 0x0000000000000000, // Address - ,) - }, - - "KryoGold1.C2" - }, - - Package (0x0A) - { - 0x03E8, - 0x028A, - One, - One, - Zero, - One, - ResourceTemplate () - { - Register (FFixedHW, - 0x20, // Bit Width - 0x00, // Bit Offset - 0x0000000040000003, // Address - 0x03, // Access Size - ) - }, - - ResourceTemplate () - { - Register (SystemMemory, - 0x00, // Bit Width - 0x00, // Bit Offset - 0x0000000000000000, // Address - ,) - }, - - ResourceTemplate () - { - Register (SystemMemory, - 0x00, // Bit Width - 0x00, // Bit Offset - 0x0000000000000000, // Address - ,) - }, - - "KryoGold1.C3" - }, - - Package (0x0A) - { - 0x05DC, - 0x044C, - One, - One, - Zero, - 0x02, - ResourceTemplate () - { - Register (FFixedHW, - 0x20, // Bit Width - 0x00, // Bit Offset - 0x0000000040000004, // Address - 0x03, // Access Size - ) - }, - - ResourceTemplate () - { - Register (SystemMemory, - 0x00, // Bit Width - 0x00, // Bit Offset - 0x0000000000000000, // Address - ,) - }, - - ResourceTemplate () - { - Register (SystemMemory, - 0x00, // Bit Width - 0x00, // Bit Offset - 0x0000000000000000, // Address - ,) - }, - - "KryoGold1.C4" - } - }) - } - - Device (CPU6) - { - Name (_HID, "ACPI0007" /* Processor Device */) // _HID: Hardware ID - Name (_UID, 0x06) // _UID: Unique ID - Method (_STA, 0, NotSerialized) // _STA: Status - { - Return (0x0F) - } - - Name (_LPI, Package (0x07) // _LPI: Low Power Idle States - { - Zero, - Zero, - 0x04, - Package (0x0A) - { - Zero, - Zero, - One, - Zero, - Zero, - Zero, - ResourceTemplate () - { - Register (FFixedHW, - 0x20, // Bit Width - 0x00, // Bit Offset - 0x00000000FFFFFFFF, // Address - 0x03, // Access Size - ) - }, - - ResourceTemplate () - { - Register (SystemMemory, - 0x00, // Bit Width - 0x00, // Bit Offset - 0x0000000000000000, // Address - ,) - }, - - ResourceTemplate () - { - Register (SystemMemory, - 0x00, // Bit Width - 0x00, // Bit Offset - 0x0000000000000000, // Address - ,) - }, - - "KryoGold2.C1" - }, - - Package (0x0A) - { - 0x0190, - 0x64, - Zero, - Zero, - Zero, - One, - ResourceTemplate () - { - Register (FFixedHW, - 0x20, // Bit Width - 0x00, // Bit Offset - 0x0000000000000002, // Address - 0x03, // Access Size - ) - }, - - ResourceTemplate () - { - Register (SystemMemory, - 0x00, // Bit Width - 0x00, // Bit Offset - 0x0000000000000000, // Address - ,) - }, - - ResourceTemplate () - { - Register (SystemMemory, - 0x00, // Bit Width - 0x00, // Bit Offset - 0x0000000000000000, // Address - ,) - }, - - "KryoGold2.C2" - }, - - Package (0x0A) - { - 0x03E8, - 0x028A, - One, - One, - Zero, - One, - ResourceTemplate () - { - Register (FFixedHW, - 0x20, // Bit Width - 0x00, // Bit Offset - 0x0000000040000003, // Address - 0x03, // Access Size - ) - }, - - ResourceTemplate () - { - Register (SystemMemory, - 0x00, // Bit Width - 0x00, // Bit Offset - 0x0000000000000000, // Address - ,) - }, - - ResourceTemplate () - { - Register (SystemMemory, - 0x00, // Bit Width - 0x00, // Bit Offset - 0x0000000000000000, // Address - ,) - }, - - "KryoGold2.C3" - }, - - Package (0x0A) - { - 0x05DC, - 0x044C, - One, - One, - Zero, - 0x02, - ResourceTemplate () - { - Register (FFixedHW, - 0x20, // Bit Width - 0x00, // Bit Offset - 0x0000000040000004, // Address - 0x03, // Access Size - ) - }, - - ResourceTemplate () - { - Register (SystemMemory, - 0x00, // Bit Width - 0x00, // Bit Offset - 0x0000000000000000, // Address - ,) - }, - - ResourceTemplate () - { - Register (SystemMemory, - 0x00, // Bit Width - 0x00, // Bit Offset - 0x0000000000000000, // Address - ,) - }, - - "KryoGold2.C4" - } - }) - } - - Device (CPU7) - { - Name (_HID, "ACPI0007" /* Processor Device */) // _HID: Hardware ID - Name (_UID, 0x07) // _UID: Unique ID - Method (_STA, 0, NotSerialized) // _STA: Status - { - Return (0x0F) - } - - Name (_LPI, Package (0x07) // _LPI: Low Power Idle States - { - Zero, - Zero, - 0x04, - Package (0x0A) - { - Zero, - Zero, - One, - Zero, - Zero, - Zero, - ResourceTemplate () - { - Register (FFixedHW, - 0x20, // Bit Width - 0x00, // Bit Offset - 0x00000000FFFFFFFF, // Address - 0x03, // Access Size - ) - }, - - ResourceTemplate () - { - Register (SystemMemory, - 0x00, // Bit Width - 0x00, // Bit Offset - 0x0000000000000000, // Address - ,) - }, - - ResourceTemplate () - { - Register (SystemMemory, - 0x00, // Bit Width - 0x00, // Bit Offset - 0x0000000000000000, // Address - ,) - }, - - "KryoGold3.C1" - }, - - Package (0x0A) - { - 0x0190, - 0x64, - Zero, - Zero, - Zero, - One, - ResourceTemplate () - { - Register (FFixedHW, - 0x20, // Bit Width - 0x00, // Bit Offset - 0x0000000000000002, // Address - 0x03, // Access Size - ) - }, - - ResourceTemplate () - { - Register (SystemMemory, - 0x00, // Bit Width - 0x00, // Bit Offset - 0x0000000000000000, // Address - ,) - }, - - ResourceTemplate () - { - Register (SystemMemory, - 0x00, // Bit Width - 0x00, // Bit Offset - 0x0000000000000000, // Address - ,) - }, - - "KryoGold3.C2" - }, - - Package (0x0A) - { - 0x03E8, - 0x028A, - One, - One, - Zero, - One, - ResourceTemplate () - { - Register (FFixedHW, - 0x20, // Bit Width - 0x00, // Bit Offset - 0x0000000040000003, // Address - 0x03, // Access Size - ) - }, - - ResourceTemplate () - { - Register (SystemMemory, - 0x00, // Bit Width - 0x00, // Bit Offset - 0x0000000000000000, // Address - ,) - }, - - ResourceTemplate () - { - Register (SystemMemory, - 0x00, // Bit Width - 0x00, // Bit Offset - 0x0000000000000000, // Address - ,) - }, - - "KryoGold3.C3" - }, - - Package (0x0A) - { - 0x05DC, - 0x044C, - One, - One, - Zero, - 0x02, - ResourceTemplate () - { - Register (FFixedHW, - 0x20, // Bit Width - 0x00, // Bit Offset - 0x0000000040000004, // Address - 0x03, // Access Size - ) - }, - - ResourceTemplate () - { - Register (SystemMemory, - 0x00, // Bit Width - 0x00, // Bit Offset - 0x0000000000000000, // Address - ,) - }, - - ResourceTemplate () - { - Register (SystemMemory, - 0x00, // Bit Width - 0x00, // Bit Offset - 0x0000000000000000, // Address - ,) - }, - - "KryoGold3.C4" - } - }) - } - } - } - - Device (QRNG) - { - Name (_DEP, Package (0x01) // _DEP: Dependencies - { - PEP0 - }) - Name (_HID, "QCOM02FE") // _HID: Hardware ID - Name (_UID, Zero) // _UID: Unique ID - Method (_CRS, 0, NotSerialized) // _CRS: Current Resource Settings - { - Name (RBUF, ResourceTemplate () - { - Memory32Fixed (ReadWrite, - 0x00793000, // Address Base - 0x00001000, // Address Length - ) - }) - Return (RBUF) /* \_SB_.QRNG._CRS.RBUF */ - } - } - - Device (GPS) - { - Name (_DEP, Package (0x01) // _DEP: Dependencies - { - GLNK - }) - Name (_HID, "QCOM02B6") // _HID: Hardware ID - Alias (PSUB, _SUB) - Name (_CID, "ACPIQCOM24B4") // _CID: Compatible ID - Name (_UID, Zero) // _UID: Unique ID - } - - Device (QGP0) - { - Name (_HID, "QCOM02F4") // _HID: Hardware ID - Alias (PSUB, _SUB) - Name (_UID, Zero) // _UID: Unique ID - Name (_CCA, Zero) // _CCA: Cache Coherency Attribute - Method (_CRS, 0, Serialized) // _CRS: Current Resource Settings - { - Name (RBUF, ResourceTemplate () - { - Memory32Fixed (ReadWrite, - 0x00804000, // Address Base - 0x00050000, // Address Length - ) - Interrupt (ResourceConsumer, Level, ActiveHigh, Exclusive, ,, ) - { - 0x00000119, - } - Interrupt (ResourceConsumer, Level, ActiveHigh, Exclusive, ,, ) - { - 0x0000011B, - } - }) - Return (RBUF) /* \_SB_.QGP0._CRS.RBUF */ - } - - Method (GPII, 0, Serialized) - { - Return (Package (0x02) - { - Package (0x03) - { - Zero, - 0x05, - 0x0119 - }, - - Package (0x03) - { - Zero, - 0x07, - 0x011B - } - }) - } - } - - Device (QGP1) - { - Name (_HID, "QCOM02F4") // _HID: Hardware ID - Alias (\_SB.PSUB, _SUB) - Name (_UID, One) // _UID: Unique ID - Name (_CCA, Zero) // _CCA: Cache Coherency Attribute - Method (_CRS, 0, Serialized) // _CRS: Current Resource Settings - { - Name (RBUF, ResourceTemplate () - { - Memory32Fixed (ReadWrite, - 0x00A04000, // Address Base - 0x00050000, // Address Length - ) - Interrupt (ResourceConsumer, Level, ActiveHigh, Exclusive, ,, ) - { - 0x00000138, - } - Interrupt (ResourceConsumer, Level, ActiveHigh, Exclusive, ,, ) - { - 0x0000013A, - } - Interrupt (ResourceConsumer, Level, ActiveHigh, Exclusive, ,, ) - { - 0x00000145, - } - }) - Return (RBUF) /* \_SB_.QGP1._CRS.RBUF */ - } - - Method (GPII, 0, Serialized) - { - Return (Package (0x03) - { - Package (0x03) - { - One, - One, - 0x0138 - }, - - Package (0x03) - { - One, - 0x03, - 0x013A - }, - - Package (0x03) - { - One, - 0x06, - 0x0145 - } - }) - } - } - - Device (SARM) - { - Name (_HID, "QCOM0301") // _HID: Hardware ID - Alias (PSUB, _SUB) - } - - Scope (\_SB) - { - Device (WBDI) - { - Name (_HID, "SAM0909") // _HID: Hardware ID - Name (_UID, Zero) // _UID: Unique ID - Name (_SUB, "RENEGA0E") // _SUB: Subsystem ID - Name (_DEP, Package (0x02) // _DEP: Dependencies - { - GIO0, - SCM0 - }) - Method (_STA, 0, NotSerialized) // _STA: Status - { - Return (0x0F) - } - - Method (_CRS, 0, NotSerialized) // _CRS: Current Resource Settings - { - Name (RBUF, ResourceTemplate () - { - GpioIo (Exclusive, PullNone, 0x0000, 0x0000, IoRestrictionNone, - "\\_SB.GIO0", 0x00, ResourceConsumer, , - ) - { // Pin list - 0x003E - } - GpioIo (Exclusive, PullNone, 0x0000, 0x0000, IoRestrictionNone, - "\\_SB.GIO0", 0x00, ResourceConsumer, , - ) - { // Pin list - 0x003B - } - GpioInt (Level, ActiveLow, ExclusiveAndWake, PullDefault, 0x0000, - "\\_SB.GIO0", 0x00, ResourceConsumer, , - ) - { // Pin list - 0x003C - } - }) - Return (RBUF) /* \_SB_.WBDI._CRS.RBUF */ - } - } - } - - Scope (\_SB) - { - Name (GRST, Zero) - } - - Device (SEN2) - { - Name (_DEP, Package (0x03) // _DEP: Dependencies - { - IPC0, - SCSS, - ARPC - }) - Name (_HID, "QCOM0308") // _HID: Hardware ID - Alias (PSUB, _SUB) - Name (_CID, "QCOM02A2") // _CID: Compatible ID - } - - Device (LID0) - { - Name (_HID, "PNP0C0D" /* Lid Device */) // _HID: Hardware ID - Alias (PSUB, _SUB) - Name (LIDB, One) - Method (_LID, 0, NotSerialized) // _LID: Lid Status - { - Return (LIDB) /* \_SB_.LID0.LIDB */ - } - } - - Method (ADDR, 0, NotSerialized) - { - If ((SVMJ == One)) - { - Return (0x0390B000) - } - ElseIf ((SVMJ == 0x02)) - { - Return (0x0350B000) - } - } - - OperationRegion (NM11, SystemMemory, ADDR (), 0x14) - Field (NM11, DWordAcc, NoLock, Preserve) - { - PI1C, 32, - PIN1, 32, - PI1N, 32, - PI1S, 32, - PI1L, 32 - } - - Method (_MID, 0, Serialized) - { - Name (NMID, Zero) - NMID = PIN1 /* \_SB_.PIN1 */ - Return (NMID) /* \_SB_._MID.NMID */ - } - - Scope (\_SB) - { - Device (AGNT) - { - Name (_ADR, Zero) // _ADR: Address - Name (_HID, "SAM0603") // _HID: Hardware ID - Name (_CID, "SAM0603") // _CID: Compatible ID - Name (_SUB, "RENEGA0E") // _SUB: Subsystem ID - Name (_UID, One) // _UID: Unique ID - Name (_STA, 0x0F) // _STA: Status - } - } - - Method (ADBG, 1, Serialized) - { - } - - Device (UCP0) - { - Name (_HID, "QCOM02D0") // _HID: Hardware ID - Name (_DEP, Package (0x03) // _DEP: Dependencies - { - PEP0, - PTCC, - URS0 - }) - Device (CON0) - { - Name (_ADR, Zero) // _ADR: Address - Name (_PLD, Package (0x01) // _PLD: Physical Location of Device - { - ToPLD ( - PLD_Revision = 0x2, - PLD_IgnoreColor = 0x1, - PLD_Red = 0x0, - PLD_Green = 0x0, - PLD_Blue = 0x0, - PLD_Width = 0x0, - PLD_Height = 0x0, - PLD_UserVisible = 0x1, - PLD_Dock = 0x0, - PLD_Lid = 0x0, - PLD_Panel = "BACK", - PLD_VerticalPosition = "CENTER", - PLD_HorizontalPosition = "LEFT", - PLD_Shape = "VERTICALRECTANGLE", - PLD_GroupOrientation = 0x0, - PLD_GroupToken = 0x0, - PLD_GroupPosition = 0x1, - PLD_Bay = 0x0, - PLD_Ejectable = 0x0, - PLD_EjectRequired = 0x0, - PLD_CabinetNumber = 0x0, - PLD_CardCageNumber = 0x0, - PLD_Reference = 0x0, - PLD_Rotation = 0x0, - PLD_Order = 0x0, - PLD_VerticalOffset = 0xFFFF, - PLD_HorizontalOffset = 0xFFFF) - - }) - Name (_UPC, Package (0x04) // _UPC: USB Port Capabilities - { - One, - 0x09, - Zero, - Zero - }) - Name (_DSD, Package (0x02) // _DSD: Device-Specific Data - { - ToUUID ("6b856e62-40f4-4688-bd46-5e888a2260de") /* Unknown UUID */, - Package (0x0A) - { - Package (0x02) - { - One, - 0x04 - }, - - Package (0x02) - { - 0x02, - 0x03 - }, - - Package (0x02) - { - 0x03, - Zero - }, - - Package (0x02) - { - 0x04, - One - }, - - Package (0x02) - { - 0x05, - 0x03 - }, - - Package (0x02) - { - 0x06, - Package (0x01) - { - 0x0001905A - } - }, - - Package (0x02) - { - 0x07, - Package (0x02) - { - 0x0001912C, - 0x0002D0C8 - } - }, - - Package (0x02) - { - 0x08, - Package (0x02) - { - 0xFF01, - 0x3C86 - } - }, - - Package (0x02) - { - 0x09, - One - }, - - Package (0x02) - { - 0x0A, - One - } - } - }) - } - - Method (_DSM, 4, NotSerialized) // _DSM: Device-Specific Method - { - While (One) - { - Name (_T_0, Buffer (One) // _T_x: Emitted by ASL Compiler, x=0-9, A-Z - { - 0x00 // . - }) - CopyObject (ToBuffer (Arg0), _T_0) /* \_SB_.UCP0._DSM._T_0 */ - If ((_T_0 == ToUUID ("18de299f-9476-4fc9-b43b-8aeb713ed751") /* Unknown UUID */)) - { - While (One) - { - Name (_T_1, Zero) // _T_x: Emitted by ASL Compiler, x=0-9, A-Z - _T_1 = ToInteger (Arg2) - If ((_T_1 == Zero)) - { - While (One) - { - Name (_T_2, Zero) // _T_x: Emitted by ASL Compiler, x=0-9, A-Z - _T_2 = ToInteger (Arg1) - If ((_T_2 == Zero)) - { - Return (Buffer (One) - { - 0x01 // . - }) - Break - } - Else - { - Return (Buffer (One) - { - 0x01 // . - }) - Break - } - - Break - } - - Return (Buffer (One) - { - 0x00 // . - }) - Break - } - ElseIf ((_T_1 == One)) - { - While (One) - { - Name (_T_3, Zero) // _T_x: Emitted by ASL Compiler, x=0-9, A-Z - _T_3 = ToInteger (Arg3) - If ((_T_3 == Zero)) - { - Return (Package (0x01) - { - 0x36019050 - }) - Break - } - ElseIf ((_T_3 == One)) - { - Return (Package (0x01) - { - 0x3601912C - }) - Break - } - Else - { - Return (Package (0x01) - { - Zero - }) - Break - } - - Break - } - } - Else - { - Return (Buffer (One) - { - 0x00 // . - }) - Break - } - - Break - } - } - Else - { - Return (Buffer (One) - { - 0x00 // . - }) - Break - } - - Break - } - } - - Method (CCOT, 2, NotSerialized) - { - CCST = Arg0 - HSFL = Arg1 - } - - Method (CCVL, 0, NotSerialized) - { - Return (CCST) /* \_SB_.CCST */ - } - - Method (HPDS, 0, NotSerialized) - { - Notify (GPU0, 0x94) // Device-Specific - } - - Method (HPDF, 2, NotSerialized) - { - HPDB = Arg0 - PINA = Arg1 - Notify (GPU0, HPDB) - } - - Method (HPDV, 0, NotSerialized) - { - Return (HPDB) /* \_SB_.HPDB */ - } - - Method (PINV, 0, NotSerialized) - { - Return (PINA) /* \_SB_.PINA */ - } - } - - Name (QUFN, Zero) - Name (DPP0, Buffer (One) - { - 0x00 // . - }) - Device (URS0) - { - Method (URSI, 0, NotSerialized) - { - If ((QUFN == Zero)) - { - Return ("QCOM0304") - } - Else - { - Return ("QCOM0305") - } - } - - Alias (URSI, _HID) - Name (_CID, "PNP0CA1") // _CID: Compatible ID - Alias (PSUB, _SUB) - Name (_UID, Zero) // _UID: Unique ID - Name (_CCA, Zero) // _CCA: Cache Coherency Attribute - Name (_DEP, Package (0x01) // _DEP: Dependencies - { - PEP0 - }) - Name (_CRS, ResourceTemplate () // _CRS: Current Resource Settings - { - Memory32Fixed (ReadWrite, - 0x0A600000, // Address Base - 0x000FFFFF, // Address Length - ) - }) - Device (USB0) - { - Name (_ADR, Zero) // _ADR: Address - Name (_S0W, 0x03) // _S0W: S0 Device Wake State - Name (_PLD, Package (0x01) // _PLD: Physical Location of Device - { - ToPLD ( - PLD_Revision = 0x2, - PLD_IgnoreColor = 0x1, - PLD_Red = 0x0, - PLD_Green = 0x0, - PLD_Blue = 0x0, - PLD_Width = 0x0, - PLD_Height = 0x0, - PLD_UserVisible = 0x1, - PLD_Dock = 0x0, - PLD_Lid = 0x0, - PLD_Panel = "BACK", - PLD_VerticalPosition = "CENTER", - PLD_HorizontalPosition = "LEFT", - PLD_Shape = "VERTICALRECTANGLE", - PLD_GroupOrientation = 0x0, - PLD_GroupToken = 0x0, - PLD_GroupPosition = 0x1, - PLD_Bay = 0x0, - PLD_Ejectable = 0x0, - PLD_EjectRequired = 0x0, - PLD_CabinetNumber = 0x0, - PLD_CardCageNumber = 0x0, - PLD_Reference = 0x0, - PLD_Rotation = 0x0, - PLD_Order = 0x0, - PLD_VerticalOffset = 0xFFFF, - PLD_HorizontalOffset = 0xFFFF) - - }) - Name (_UPC, Package (0x04) // _UPC: USB Port Capabilities - { - One, - 0x09, - Zero, - Zero - }) - Name (_CRS, ResourceTemplate () // _CRS: Current Resource Settings - { - Interrupt (ResourceConsumer, Level, ActiveHigh, Shared, ,, ) - { - 0x000000A5, - } - Interrupt (ResourceConsumer, Level, ActiveHigh, SharedAndWake, ,, ) - { - 0x0000017A, - } - Interrupt (ResourceConsumer, Level, ActiveHigh, SharedAndWake, ,, ) - { - 0x00000206, - } - Interrupt (ResourceConsumer, Edge, ActiveHigh, SharedAndWake, ,, ) - { - 0x00000208, - } - Interrupt (ResourceConsumer, Edge, ActiveHigh, SharedAndWake, ,, ) - { - 0x00000209, - } - }) - Method (_STA, 0, NotSerialized) // _STA: Status - { - Return (0x0F) - } - - Method (DPM0, 1, NotSerialized) - { - DPP0 = Arg0 - Notify (PEP0, 0xA0) // Device-Specific - } - - Method (CCVL, 0, NotSerialized) - { - Return (CCST) /* \_SB_.CCST */ - } - - Method (HSEN, 0, NotSerialized) - { - Return (HSFL) /* \_SB_.HSFL */ - } - - Name (HSEI, ResourceTemplate () - { - GpioIo (Exclusive, PullNone, 0x0000, 0x0000, IoRestrictionNone, - "\\_SB.GIO0", 0x00, ResourceConsumer, , - ) - { // Pin list - 0x002B - } - }) - Scope (^^GIO0) - { - OperationRegion (HLEN, GeneralPurposeIo, Zero, One) - } - - Field (^^GIO0.HLEN, ByteAcc, NoLock, Preserve) - { - Connection (HSEI), - MOD1, 1 - } - - Method (_DSM, 4, NotSerialized) // _DSM: Device-Specific Method - { - While (One) - { - Name (_T_0, Buffer (One) // _T_x: Emitted by ASL Compiler, x=0-9, A-Z - { - 0x00 // . - }) - CopyObject (ToBuffer (Arg0), _T_0) /* \_SB_.URS0.USB0._DSM._T_0 */ - If ((_T_0 == ToUUID ("ce2ee385-00e6-48cb-9f05-2edb927c4899") /* USB Controller */)) - { - While (One) - { - Name (_T_1, Zero) // _T_x: Emitted by ASL Compiler, x=0-9, A-Z - _T_1 = ToInteger (Arg2) - If ((_T_1 == Zero)) - { - While (One) - { - Name (_T_2, Zero) // _T_x: Emitted by ASL Compiler, x=0-9, A-Z - _T_2 = ToInteger (Arg1) - If ((_T_2 == Zero)) - { - Return (Buffer (One) - { - 0x1D // . - }) - Break - } - Else - { - Return (Buffer (One) - { - 0x01 // . - }) - Break - } - - Break - } - - Return (Buffer (One) - { - 0x00 // . - }) - Break - } - ElseIf ((_T_1 == 0x02)) - { - Return (Zero) - Break - } - ElseIf ((_T_1 == 0x03)) - { - Return (One) - Break - } - ElseIf ((_T_1 == 0x04)) - { - Return (0x02) - Break - } - Else - { - Return (Buffer (One) - { - 0x00 // . - }) - Break - } - - Break - } - } - ElseIf ((_T_0 == ToUUID ("a9a82a56-95a1-4b4a-b014-3be47df1b7d5") /* Unknown UUID */)) - { - While (One) - { - Name (_T_3, Zero) // _T_x: Emitted by ASL Compiler, x=0-9, A-Z - _T_3 = ToInteger (Arg1) - If ((_T_3 == One)) - { - While (One) - { - Name (_T_4, Zero) // _T_x: Emitted by ASL Compiler, x=0-9, A-Z - _T_4 = ToInteger (Arg2) - If ((_T_4 == One)) - { - ADBG ("MOD1+") - MOD1 = One - Return (Buffer (One) - { - 0x01 // . - }) - } - ElseIf ((_T_4 == Zero)) - { - ADBG ("MOD1-") - MOD1 = Zero - Return (Buffer (One) - { - 0x01 // . - }) - } - Else - { - Return (Buffer (One) - { - 0x00 // . - }) - } - - Break - } - } - Else - { - Return (Buffer (One) - { - 0x00 // . - }) - } - - Break - } - } - Else - { - Return (Buffer (One) - { - 0x00 // . - }) - Break - } - - Break - } - } - - Method (PHYC, 0, NotSerialized) - { - Name (CFG0, Package (0x01) - { - Package (0x03) - { - Zero, - 0x088E2198, - 0x20 - } - }) - Return (CFG0) /* \_SB_.URS0.USB0.PHYC.CFG0 */ - } - - Device (RHUB) - { - Name (_ADR, Zero) // _ADR: Address - Method (_DSM, 4, NotSerialized) // _DSM: Device-Specific Method - { - While (One) - { - Name (_T_0, Buffer (One) // _T_x: Emitted by ASL Compiler, x=0-9, A-Z - { - 0x00 // . - }) - CopyObject (ToBuffer (Arg0), _T_0) /* \_SB_.URS0.USB0.RHUB._DSM._T_0 */ - If ((_T_0 == ToUUID ("a9a82a56-95a1-4b4a-b014-3be47df1b7d5") /* Unknown UUID */)) - { - While (One) - { - Name (_T_1, Zero) // _T_x: Emitted by ASL Compiler, x=0-9, A-Z - _T_1 = ToInteger (Arg1) - If ((_T_1 == One)) - { - While (One) - { - Name (_T_2, Zero) // _T_x: Emitted by ASL Compiler, x=0-9, A-Z - _T_2 = ToInteger (Arg2) - If ((_T_2 == One)) - { - ADBG ("MOD1++") - MOD1 = One - Return (Buffer (One) - { - 0x01 // . - }) - } - ElseIf ((_T_2 == Zero)) - { - ADBG ("MOD1--") - MOD1 = Zero - Return (Buffer (One) - { - 0x01 // . - }) - } - Else - { - Return (Buffer (One) - { - 0x00 // . - }) - } - - Break - } - } - Else - { - Return (Buffer (One) - { - 0x00 // . - }) - } - - Break - } - } - Else - { - Return (Buffer (One) - { - 0x00 // . - }) - Break - } - - Break - } - } - } - } - - Device (UFN0) - { - Name (_ADR, One) // _ADR: Address - Name (_S0W, 0x03) // _S0W: S0 Device Wake State - Name (_PLD, Package (0x01) // _PLD: Physical Location of Device - { - ToPLD ( - PLD_Revision = 0x2, - PLD_IgnoreColor = 0x1, - PLD_Red = 0x0, - PLD_Green = 0x0, - PLD_Blue = 0x0, - PLD_Width = 0x0, - PLD_Height = 0x0, - PLD_UserVisible = 0x1, - PLD_Dock = 0x0, - PLD_Lid = 0x0, - PLD_Panel = "BACK", - PLD_VerticalPosition = "CENTER", - PLD_HorizontalPosition = "LEFT", - PLD_Shape = "VERTICALRECTANGLE", - PLD_GroupOrientation = 0x0, - PLD_GroupToken = 0x0, - PLD_GroupPosition = 0x1, - PLD_Bay = 0x0, - PLD_Ejectable = 0x0, - PLD_EjectRequired = 0x0, - PLD_CabinetNumber = 0x0, - PLD_CardCageNumber = 0x0, - PLD_Reference = 0x0, - PLD_Rotation = 0x0, - PLD_Order = 0x0, - PLD_VerticalOffset = 0xFFFF, - PLD_HorizontalOffset = 0xFFFF) - - }) - Name (_UPC, Package (0x04) // _UPC: USB Port Capabilities - { - One, - 0x09, - Zero, - Zero - }) - Name (_CRS, ResourceTemplate () // _CRS: Current Resource Settings - { - Interrupt (ResourceConsumer, Level, ActiveHigh, Shared, ,, ) - { - 0x000000A5, - } - Interrupt (ResourceConsumer, Level, ActiveHigh, SharedAndWake, ,, ) - { - 0x000000A2, - } - }) - Method (CCVL, 0, NotSerialized) - { - Return (CCST) /* \_SB_.CCST */ - } - - Method (_DSM, 4, NotSerialized) // _DSM: Device-Specific Method - { - While (One) - { - Name (_T_0, Buffer (One) // _T_x: Emitted by ASL Compiler, x=0-9, A-Z - { - 0x00 // . - }) - CopyObject (ToBuffer (Arg0), _T_0) /* \_SB_.URS0.UFN0._DSM._T_0 */ - If ((_T_0 == ToUUID ("fe56cfeb-49d5-4378-a8a2-2978dbe54ad2") /* Unknown UUID */)) - { - While (One) - { - Name (_T_1, Zero) // _T_x: Emitted by ASL Compiler, x=0-9, A-Z - _T_1 = ToInteger (Arg2) - If ((_T_1 == Zero)) - { - While (One) - { - Name (_T_2, Zero) // _T_x: Emitted by ASL Compiler, x=0-9, A-Z - _T_2 = ToInteger (Arg1) - If ((_T_2 == Zero)) - { - Return (Buffer (One) - { - 0x03 // . - }) - Break - } - Else - { - Return (Buffer (One) - { - 0x01 // . - }) - Break - } - - Break - } - - Return (Buffer (One) - { - 0x00 // . - }) - Break - } - ElseIf ((_T_1 == One)) - { - Return (0x20) - Break - } - Else - { - Return (Buffer (One) - { - 0x00 // . - }) - Break - } - - Break - } - } - ElseIf ((_T_0 == ToUUID ("18de299f-9476-4fc9-b43b-8aeb713ed751") /* Unknown UUID */)) - { - While (One) - { - Name (_T_3, Zero) // _T_x: Emitted by ASL Compiler, x=0-9, A-Z - _T_3 = ToInteger (Arg2) - If ((_T_3 == Zero)) - { - While (One) - { - Name (_T_4, Zero) // _T_x: Emitted by ASL Compiler, x=0-9, A-Z - _T_4 = ToInteger (Arg1) - If ((_T_4 == Zero)) - { - Return (Buffer (One) - { - 0x03 // . - }) - Break - } - Else - { - Return (Buffer (One) - { - 0x01 // . - }) - Break - } - - Break - } - - Return (Buffer (One) - { - 0x00 // . - }) - Break - } - ElseIf ((_T_3 == One)) - { - Return (0x39) - Break - } - Else - { - Return (Buffer (One) - { - 0x00 // . - }) - Break - } - - Break - } - } - Else - { - Return (Buffer (One) - { - 0x00 // . - }) - Break - } - - Break - } - } - - Method (PHYC, 0, NotSerialized) - { - Name (CFG0, Package (0x12) - { - Package (0x03) - { - Zero, - 0x088E2210, - 0x23 - }, - - Package (0x03) - { - Zero, - 0x088E2004, - 0x03 - }, - - Package (0x03) - { - Zero, - 0x088E218C, - 0x7C - }, - - Package (0x03) - { - Zero, - 0x088E202C, - 0x80 - }, - - Package (0x03) - { - Zero, - 0x088E2184, - 0x0A - }, - - Package (0x03) - { - Zero, - 0x088E20B4, - 0x19 - }, - - Package (0x03) - { - Zero, - 0x088E2194, - 0x40 - }, - - Package (0x03) - { - Zero, - 0x088E2198, - 0x28 - }, - - Package (0x03) - { - Zero, - 0x088E2214, - 0x21 - }, - - Package (0x03) - { - Zero, - 0x088E2220, - Zero - }, - - Package (0x03) - { - Zero, - 0x088E2224, - 0x58 - }, - - Package (0x03) - { - Zero, - 0x088E2240, - 0x35 - }, - - Package (0x03) - { - Zero, - 0x088E2244, - 0x29 - }, - - Package (0x03) - { - Zero, - 0x088E2248, - 0xCA - }, - - Package (0x03) - { - Zero, - 0x088E224C, - 0x04 - }, - - Package (0x03) - { - Zero, - 0x088E2250, - 0x03 - }, - - Package (0x03) - { - Zero, - 0x088E223C, - Zero - }, - - Package (0x03) - { - Zero, - 0x088E2210, - 0x22 - } - }) - Return (CFG0) /* \_SB_.URS0.UFN0.PHYC.CFG0 */ - } - } - } - - Name (HPDB, Zero) - Name (PINA, Zero) - Name (CCST, Buffer (One) - { - 0x02 // . - }) - Name (HSFL, Buffer (One) - { - 0x00 // . - }) - Name (HPDS, Zero) - Name (USBC, Buffer (One) - { - 0x0B // . - }) - Name (DPPN, 0x0D) - Name (MUXC, Buffer (One) - { - 0x00 // . - }) - Name (DPP1, Buffer (One) - { - 0x00 // . - }) - Name (SKYD, Buffer (One) - { - 0x01 // . - }) - -Include("cust_thermal_zones.asl") - - Name (HWNH, Zero) - Name (HWNL, Zero) - Device (HWN1) - { - Name (_HID, "QCOM02A9") // _HID: Hardware ID - Alias (PSUB, _SUB) - Method (_STA, 0, NotSerialized) // _STA: Status - { - If ((HWNH == Zero)) - { - Return (Zero) - } - Else - { - Return (0x0F) - } - } - - Name (_DEP, Package (0x01) // _DEP: Dependencies - { - PMIC - }) - Method (_CRS, 0, NotSerialized) // _CRS: Current Resource Settings - { - Name (RBUF, ResourceTemplate () - { - GpioInt (Level, ActiveHigh, Exclusive, PullNone, 0x0000, - "\\_SB.PM01", 0x00, ResourceConsumer, , - ) - { // Pin list - 0x0190 - } - }) - Return (RBUF) /* \_SB_.HWN1._CRS.RBUF */ - } - - Method (HAPI, 0, NotSerialized) - { - Name (CFG0, Package (0x03) - { - One, - One, - One - }) - Return (CFG0) /* \_SB_.HWN1.HAPI.CFG0 */ - } - - Method (HAPC, 0, NotSerialized) - { - Name (CFG0, Package (0x16) - { - Zero, - 0x0984, - Zero, - One, - One, - One, - One, - Zero, - 0x04, - One, - 0x03, - 0x14, - One, - 0x03, - Zero, - Zero, - 0x06, - Zero, - Zero, - 0x0535, - 0x03, - One - }) - Return (CFG0) /* \_SB_.HWN1.HAPC.CFG0 */ - } - } - - Device (HWN0) - { - Name (_HID, "QCOM02A8") // _HID: Hardware ID - Alias (PSUB, _SUB) - Name (_UID, Zero) // _UID: Unique ID - Method (_STA, 0, NotSerialized) // _STA: Status - { - If ((^^HWNL == Zero)) - { - Return (Zero) - } - Else - { - Return (0x0F) - } - } - - Method (HWNL, 0, NotSerialized) - { - Name (CFG0, Package (0x10) - { - One, - 0x03, - 0x019B, - 0x14, - 0x20, - 0x02, - 0x40, - 0x03, - 0x80, - 0x04, - One, - One, - One, - 0x03, - One, - One - }) - Return (CFG0) /* \_SB_.HWN0.HWNL.CFG0 */ - } - } - - Device (CONT) - { - Name (_HID, "CONT1234") // _HID: Hardware ID - Name (_CID, "PNP0C60" /* Display Sensor Device */) // _CID: Compatible ID - } - - Device (POWR) - { - Name (_HID, "POWR1234") // _HID: Hardware ID - Name (_CID, "PNP0C40" /* Standard Button Controller */) // _CID: Compatible ID - } - - Device (SVBI) - { - Name (_HID, "SAMM0901") // _HID: Hardware ID - Name (_SUB, "RENEGA0E") // _SUB: Subsystem ID - } - - Device (TSC1) - { - Name (_HID, "MSHW1003") // _HID: Hardware ID - Name (_UID, One) // _UID: Unique ID - Name (_DEP, Package (0x03) // _DEP: Dependencies - { - PEP0, - GIO0, - IC15 - }) - Method (_CRS, 0, NotSerialized) // _CRS: Current Resource Settings - { - Name (RBUF, ResourceTemplate () - { - I2cSerialBusV2 (0x0020, ControllerInitiated, 0x00061A80, - AddressingMode7Bit, "\\_SB.IC15", - 0x00, ResourceConsumer, , Exclusive, - ) - GpioInt (Edge, ActiveLow, ExclusiveAndWake, PullUp, 0x0000, - "\\_SB.GIO0", 0x00, ResourceConsumer, , - ) - { // Pin list - 0x007D - } - GpioIo (Exclusive, PullNone, 0x0000, 0x0000, IoRestrictionNone, - "\\_SB.GIO0", 0x00, ResourceConsumer, , - ) - { // Pin list - 0x0063 - } - }) - Return (RBUF) /* \_SB_.TSC1._CRS.RBUF */ - } - - Name (PGID, Buffer (0x0A) - { - "\\_SB.TSC1" - }) - Name (DBUF, Buffer (DBFL){}) - CreateByteField (DBUF, Zero, STAT) - CreateByteField (DBUF, 0x02, DVAL) - CreateField (DBUF, 0x18, 0xA0, DEID) - Method (_S1D, 0, NotSerialized) // _S1D: S1 Device State - { - Return (0x03) - } - - Method (_S2D, 0, NotSerialized) // _S2D: S2 Device State - { - Return (0x03) - } - - Method (_S3D, 0, NotSerialized) // _S3D: S3 Device State - { - Return (0x03) - } - - Method (_PS0, 0, NotSerialized) // _PS0: Power State 0 - { - DEID = Buffer (ESNL){} - DVAL = Zero - DEID = PGID /* \_SB_.TSC1.PGID */ - If (^^ABD.AVBL) - { - ^^PEP0.FLD0 = DBUF /* \_SB_.TSC1.DBUF */ - } - } - - Method (_PS3, 0, NotSerialized) // _PS3: Power State 3 - { - DEID = Buffer (ESNL){} - DVAL = 0x03 - DEID = PGID /* \_SB_.TSC1.PGID */ - If (^^ABD.AVBL) - { - ^^PEP0.FLD0 = DBUF /* \_SB_.TSC1.DBUF */ - } - } - } - - Device (BTNS) - { - Name (_HID, "ACPI0011" /* Generic Buttons Device */) // _HID: Hardware ID - Alias (PSUB, _SUB) - Name (_UID, Zero) // _UID: Unique ID - Method (_CRS, 0, NotSerialized) // _CRS: Current Resource Settings - { - Name (RBUF, ResourceTemplate () - { - GpioInt (Edge, ActiveBoth, Exclusive, PullDown, 0x0010, - "\\_SB.PM01", 0x00, ResourceConsumer, , - ) - { // Pin list - 0x0000 - } - GpioInt (Edge, ActiveBoth, ExclusiveAndWake, PullUp, 0x0000, - "\\_SB.PM01", 0x00, ResourceConsumer, , - ) - { // Pin list - 0x0085 - } - GpioInt (Edge, ActiveBoth, ExclusiveAndWake, PullDown, 0x0000, - "\\_SB.PM01", 0x00, ResourceConsumer, , - ) - { // Pin list - 0x0084 - } - }) - Return (RBUF) /* \_SB_.BTNS._CRS.RBUF */ - } - - Name (_DSD, Package (0x02) // _DSD: Device-Specific Data - { - ToUUID ("fa6bd625-9ce8-470d-a2c7-b3ca36c4282e") /* Generic Buttons Device */, - Package (0x06) - { - Package (0x05) - { - Zero, - One, - Zero, - One, - 0x0D - }, - - Package (0x05) - { - One, - Zero, - One, - One, - 0x81 - }, - - Package (0x05) - { - One, - One, - One, - 0x0C, - 0xE9 - }, - - Package (0x05) - { - One, - 0x02, - One, - 0x0C, - 0xEA - }, - - Package (0x05) - { - One, - 0x03, - One, - 0x90, - 0x20 - }, - - Package (0x05) - { - One, - 0x04, - One, - 0x90, - 0x21 - } - } - }) - } - - Device (QDCI) - { - Name (_DEP, Package (0x01) // _DEP: Dependencies - { - GLNK - }) - Name (_HID, "QCOM0224") // _HID: Hardware ID - Alias (PSUB, _SUB) - } - - Include ("wcnss_bt.asl") - - Include("adc.asl") - } - - Name (WAKP, Package (0x02) - { - Zero, - Zero - }) -} - diff --git a/legacy/dipper/adc.asl b/legacy/dipper/adc.asl deleted file mode 100644 index 01b979e..0000000 --- a/legacy/dipper/adc.asl +++ /dev/null @@ -1,707 +0,0 @@ -/*============================================================================ - FILE: adc.asl - - OVERVIEW: This file contains the board-specific configuration info for - ADC1 - qcadc analog-to-digital converter (ADC): ACPI device - definitions, common settings, etc. - - DEPENDENCIES: None - -============================================================================*/ -/*---------------------------------------------------------------------------- - * QCADC - * -------------------------------------------------------------------------*/ - -Device(ADC1) -{ - /*---------------------------------------------------------------------------- - * Dependencies - * -------------------------------------------------------------------------*/ - Name(_DEP, Package(0x2) - { - \_SB_.SPMI, - \_SB_.PMIC - }) - - /*---------------------------------------------------------------------------- - * HID - * -------------------------------------------------------------------------*/ - Name(_HID, "QCOM0221") - Alias(\_SB.PSUB, _SUB) - Name(_UID, 0) - - /*---------------------------------------------------------------------------- - * ADC Resources - * -------------------------------------------------------------------------*/ - Method(_CRS) - { - /* - * Interrupts - */ - Name (INTB, ResourceTemplate() - { - // VAdc - EOC - // ID = {slave id}{perph id}{int} = {0}{0011 0001}{000} = 0x188 - GpioInt(Edge, ActiveHigh, ExclusiveAndWake, PullUp, 0, "\\_SB.PM01", , , , RawDataBuffer(){0x2}) {32} // 0x188 - PM_INT__VADC_HC1_USR__EOC - - // VAdc TM - All interrupts - // ID = {slave id}{perph id}{int} = {0}{0011 0100}{000} = 0x1A0 - GpioInt(Edge, ActiveHigh, ExclusiveAndWake, PullUp, 0, "\\_SB.PM01", , , , RawDataBuffer(){0x2}) {40} // 0x1A0 - PM_INT__VADC_HC7_BTM__THR - - // FgAdc - All interrupts - // ID = {slave id}{perph id}{int} = {10}{0100 0101}{000} = 0x1228 - GpioInt(Edge, ActiveHigh, ExclusiveAndWake, PullUp, 0, "\\_SB.PM01", , , , RawDataBuffer(){0x2}) {360} // 0x1228 - PM_INT__FG_ADC__BT_ID - }) - - /* - * SPMI peripherals - */ - Name(NAM, Buffer() {"\\_SB.SPMI"}) - - // VAdc - Name(VUSR, Buffer() - { - 0x8E, // SPB Descriptor - 0x13, 0x00, // Length including NAM above - 0x01, // +0x00 SPB Descriptor Revision - 0x00, // +0x01 Resource Source Index - 0xC1, // +0x02 Bus type - vendor defined values are in the range 0xc0-0xff - 0x02, // +0x03 Consumer + controller initiated - 0x00, 0x31, // +0x04 Type specific flags . Slave id, Upper8 bit address - 0x01, // +0x06 Type specific revision - 0x00, 0x00 // +0x07 type specific data length - // +0x09 - 0xd bytes for NULL-terminated NAM - // Length = 0x13 - }) - - // VAdc TM - Name(VBTM, Buffer() - { - 0x8E, // SPB Descriptor - 0x13, 0x00, // Length including NAM above - 0x01, // +0x00 SPB Descriptor Revision - 0x00, // +0x01 Resource Source Index - 0xC1, // +0x02 Bus type - vendor defined values are in the range 0xc0-0xff - 0x02, // +0x03 Consumer + controller initiated - 0x00, 0x34, // +0x04 Type specific flags . Slave id, Upper8 bit address - 0x01, // +0x06 Type specific revision - 0x00, 0x00 // +0x07 type specific data length - // +0x09 - 0xd bytes for NULL-terminated NAM - // Length = 0x13 - }) - - // FgAdc - Name(FGRR, Buffer() - { - 0x8E, // SPB Descriptor - 0x13, 0x00, // Length including NAM above - 0x01, // +0x00 SPB Descriptor Revision - 0x00, // +0x01 Resource Source Index - 0xC1, // +0x02 Bus type - vendor defined values are in the range 0xc0-0xff - 0x02, // +0x03 Consumer + controller initiated - 0x02, 0x45, // +0x04 Type specific flags . Slave id, Upper8 bit address - 0x01, // +0x06 Type specific revision - 0x00, 0x00 // +0x07 type specific data length - // +0x09 - 0xd bytes for NULL-terminated NAM - // Length = 0x13 - }) - - // Name(END, Buffer() {0x79, 0x00}) - - // {VUSR, NAM, VBTM, NAM, FGRR, NAM, INTB} - // {Local1, Local2, Local3, INTB} - // {Local4, Local5} - // {Local0} - Concatenate(VUSR, NAM, Local1) - Concatenate(VBTM, NAM, Local2) - Concatenate(FGRR, NAM, Local3) - Concatenate(Local1, Local2, Local4) - Concatenate(Local3, INTB, Local5) - Concatenate(Local4, Local5, Local0) - - Return(Local0) - } - - /*---------------------------------------------------------------------------- - * Device configuration - * -------------------------------------------------------------------------*/ - /* - * General ADC properties - * - * bHasVAdc: - * Whether or not TM is supported. - * 0 - Not supported - * 1 - Supported - * - * bHasTM: - * Whether or not TM is supported. - * 0 - Not supported - * 1 - Supported - * - * bHasFgAdc: - * Whether or not FGADC is supported. - * 0 - Not supported - * 1 - Supported - * - */ - Method (ADDV) - { - Return (Package() - { - /* .bHasVAdc = */ 1, - /* .bHasTM = */ 1, - /* .bHasFgAdc = */ 1, - }) - } - - /*---------------------------------------------------------------------------- - * Voltage ADC (VADC) Configuration - * -------------------------------------------------------------------------*/ - /* - * General VADC properties - * - * bUsesInterrupts: - * End-of-conversion interrupt mode. - * 0 - Polling mode - * 1 - Interrupt mode - * - * uFullScale_code: - * Full-scale ADC code. - * - * uFullScale_uV: - * Full-scale ADC voltage in uV. - * - * uReadTimeout_us: - * Timeout for reading ADC channels in us. - * - * uLDOSettlingTime_us: - * LDO settling time in us. - * - * ucMasterID: - * Master ID to send the interrupt to. - * - * ucPmicDevice: - * PMIC which has the VAdc. - * - * usMinDigRev: - * Minimum digital version - * - * usMinAnaRev: - * Minimum analog version - * - * ucPerphType: - * ADC peripheral type. - * - */ - Method (GENP) - { - Return (Package() - { - /* .bUsesInterrupts = */ 0, - /* .uFullScale_code = */ 0x4000, - /* .uFullScale_uV = */ 1875000, - /* .uReadTimeout_us = */ 500000, - /* .uLDOSettlingTime_us = */ 17, - /* .ucMasterID = */ 0, - /* .ucPmicDevice = */ 0, - /* .usMinDigRev = */ 0x300, - /* .usMinAnaRev = */ 0x100, - /* .ucPerphType = */ 0x8, - }) - } - - /*=========================================================================== - - FUNCTION PTCF - - DESCRIPTION Scales the ADC result from millivolts to 0.001 degrees - Celsius using the PMIC thermistor conversion equation. - - DEPENDENCIES None - - PARAMETERS Arg0 [in] ADC result data (uMicroVolts) - - RETURN VALUE Scaled result in mDegC - - SIDE EFFECTS None - - ===========================================================================*/ - Method (PTCF, 1) - { - /* - * Divide by two to convert from microvolt reading to micro-Kelvin. - * - * Subtract 273160 to convert the temperature from Kelvin to - * 0.001 degrees Celsius. - */ - ShiftRight (Arg0, 1, Local0) - Subtract (Local0, 273160, Local0) - Return (Local0) - } - - /*=========================================================================== - - FUNCTION PTCI - - DESCRIPTION Inverse of PTCF - scaled PMIC temperature to microvolts. - - DEPENDENCIES None - - PARAMETERS Arg0 [in] temperature in mDegC - - RETURN VALUE ADC result data (uMicroVolts) - - SIDE EFFECTS None - - ===========================================================================*/ - Method (PTCI, 1) - { - Add (Arg0, 273160, Local0) - ShiftLeft (Local0, 1, Local0) - Return (Local0) - } - - /* - * VADC channel to GPIO mapping - * - */ - Method (VGIO) - { - Return (Package() - { - Package() - { - /* .GPIO = */ 8, - /* .aucChannels = */ Buffer(){0x12, 0x32, 0x52, 0x72}, - }, - - Package() - { - /* .GPIO = */ 9, - /* .aucChannels = */ Buffer(){0x13, 0x33, 0x53, 0x73}, - }, - - Package() - { - /* .GPIO = */ 10, - /* .aucChannels = */ Buffer(){0x14, 0x34, 0x54, 0x74}, - }, - - Package() - { - /* .GPIO = */ 11, - /* .aucChannels = */ Buffer(){0x15, 0x35, 0x55, 0x75}, - }, - - Package() - { - /* .GPIO = */ 12, - /* .aucChannels = */ Buffer(){0x16, 0x36, 0x56, 0x76}, - }, - - Package() - { - /* .GPIO = */ 21, - /* .aucChannels = */ Buffer(){0x17, 0x37, 0x57, 0x77, 0x97}, - }, - - Package() - { - /* .GPIO = */ 22, - /* .aucChannels = */ Buffer(){0x18, 0x38, 0x58, 0x78, 0x98}, - }, - - Package() - { - /* .GPIO = */ 23, - /* .aucChannels = */ Buffer(){0x19, 0x39, 0x59, 0x79, 0x99}, - }, - }) - } - - /*---------------------------------------------------------------------------- - * Voltage ADC Threshold Monitor (VADCTM) Configuration - * -------------------------------------------------------------------------*/ - /* - * General VADCTM properties - * - * eAverageMode: - * Obtains N ADC readings and averages them together. - * 0 - VADCTM_AVERAGE_1_SAMPLE - * 1 - VADCTM_AVERAGE_2_SAMPLES - * 2 - VADCTM_AVERAGE_4_SAMPLES - * 3 - VADCTM_AVERAGE_8_SAMPLES - * 4 - VADCTM_AVERAGE_16_SAMPLES - * - * eDecimationRatio: - * The decimation ratio. - * 0 - VADCTM_DECIMATION_RATIO_256 - * 1 - VADCTM_DECIMATION_RATIO_512 - * 2 - VADCTM_DECIMATION_RATIO_1024 - * - * uFullScale_code: - * Full-scale ADC code. - * - * uFullScale_uV: - * Full-scale ADC voltage in uV. - * - * ucMasterID: - * Master ID to send the interrupt to. - * - * ucPmicDevice: - * PMIC which has the VAdc. - * - * usMinDigRev: - * Minimum digital version - * - * usMinAnaRev: - * Minimum analog version - * - * ucPerphType: - * ADC peripheral type. - * - */ - Method (VTGN) - { - Return (Package() - { - /* .eAverageMode = */ 2, - /* .eDecimationRatio = */ 2, - /* .uFullScale_code = */ 0x4000, - /* .uFullScale_uV = */ 1875000, - /* .ucMasterID = */ 0, - /* .ucPmicDevice = */ 0, - /* .usMinDigRev = */ 0x300, - /* .usMinAnaRev = */ 0x100, - /* .ucPerphType = */ 0x8, - }) - } - - /*---------------------------------------------------------------------------- - * Fuel Gauge ADC (FGADC) Configuration - * -------------------------------------------------------------------------*/ - /* - * General FGADC properties - * - * skinTempThreshRange: - * Range for skin temperature thresholds - * - * chgTempThreshRange: - * Range for charger temperature thresholds - * - * uFullScale_code: - * Full scale ADC value in code. - * - * uFullScale_uV: - * Full scale ADC value in microvolts. - * - * uMicroVoltsPerMilliAmps: - * Microvolts per milliamp scaling factor. - * - * uCodePerKelvin: - * Code per Kelvin scaling factor. - * - * uBattIdClipThresh: - * Max code for a BATT ID channel. - * - * uMaxWaitTimeus: - * Maximum time to wait for a reading to complete in microseconds. - * - * uSlaveId: - * PMIC slave ID. - * - * ucPmicDevice: - * PMIC which has the VAdc. - * - * ucPerphType: - * ADC peripheral type. - * - */ - Method (GENF) - { - Return (Package() - { - /* .skinTempThreshRange.nMin = */ 0xFFFFFFE2, // -30 - /* .skinTempThreshRange.nMax = */ 97, - /* .chgTempThreshRange.nMin = */ 0xFFFFFFCE, // -50 - /* .chgTempThreshRange.nMax = */ 160, - /* .uFullScale_code = */ 0x3ff, - /* .uFullScale_uV = */ 2500000, - /* .uMicroVoltsPerMilliAmps = */ 500, - /* .uCodePerKelvin = */ 4, - /* .uBattIdClipThresh = */ 820, - /* .uMaxWaitTimeUs = */ 5000000, - /* .uSlaveId = */ 2, - /* .ucPmicDevice = */ 1, - /* .ucPerphType = */ 0xD, - }) - } - - /* - * FGADC Channel Configuration Table - * - * The following table is the list of channels the FGADC can read. Below is - * a description of each field: - * - * sName: - * Appropriate string name for the channel from AdcInputs.h. - * - * eChannel: - * Which channel. - * 0 - FGADC_CHAN_SKIN_TEMP - * 1 - FGADC_CHAN_BATT_ID - * 2 - FGADC_CHAN_BATT_ID_FRESH - * 3 - FGADC_CHAN_BATT_ID_5 - * 4 - FGADC_CHAN_BATT_ID_15 - * 5 - FGADC_CHAN_BATT_ID_150 - * 6 - FGADC_CHAN_BATT_THERM - * 7 - FGADC_CHAN_AUX_THERM - * 8 - FGADC_CHAN_USB_IN_V - * 9 - FGADC_CHAN_USB_IN_I - * 10 - FGADC_CHAN_DC_IN_V - * 11 - FGADC_CHAN_DC_IN_I - * 12 - FGADC_CHAN_DIE_TEMP - * 13 - FGADC_CHAN_CHARGER_TEMP - * 14 - FGADC_CHAN_GPIO - * - * eEnable: - * Whether or not to enable the channel. - * 0 - FGADC_DISABLE - * 1 - FGADC_ENABLE - * - * ucTriggers: - * Mask of triggers. Use 0x0 for default trigger configuration. - * - * scalingFactor.num: - * Numerator of the channel scaling - * - * scalingFactor.den: - * Denominator of the channel scaling - * - * eScaling: - * The scaling method to use. - * 0 - FGADC_SCALE_TO_MILLIVOLTS - * 1 - FGADC_SCALE_BATT_ID_TO_OHMS - * 2 - FGADC_SCALE_INTERPOLATE_FROM_MILLIVOLTS (requires uInterpolationTableName) - * 3 - FGADC_SCALE_THERMISTOR - * 4 - FGADC_SCALE_CURRENT_TO_MILLIAMPS - * - * uInterpolationTableName: - * The name of the lookup table in ACPI that will be interpolated to obtain - * a physical value. Note that the physical value (which has default units - * of millivolts unless custom scaling function is used) is passed as the - * input. This value corresponds to the first column of the table. The - * scaled output appears in the physical adc result. - * 0 - No interpolation table - * WXYZ - Where 'WXYZ' is the interpolation table name - * - */ - Method (FCHN) - { - Return (Package() - { - /* BATT_ID_OHMS (BATT_ID pin) */ - Package() - { - /* .sName = */ "BATT_ID_OHMS", - /* .eChannel = */ 1, - /* .eEnable = */ 1, - /* .ucTriggers = */ 0x0, - /* .scalingFactor.num = */ 1, - /* .scalingFactor.den = */ 1, - /* .eScaling = */ 1, - /* .uInterpolationTableName = */ 0, - }, - - /* BATT_ID_OHMS_FRESH (BATT_ID pin) */ - Package() - { - /* .sName = */ "BATT_ID_OHMS_FRESH", - /* .eChannel = */ 2, - /* .eEnable = */ 1, - /* .ucTriggers = */ 0x0, - /* .scalingFactor.num = */ 1, - /* .scalingFactor.den = */ 1, - /* .eScaling = */ 1, - /* .uInterpolationTableName = */ 0, - }, - - /* BATT_THERM (BATT_THERM pin) */ - Package() - { - /* .sName = */ "BATT_THERM", - /* .eChannel = */ 6, - /* .eEnable = */ 1, - /* .ucTriggers = */ 0x0, - /* .scalingFactor.num = */ 1, - /* .scalingFactor.den = */ 1, - /* .eScaling = */ 3, - /* .uInterpolationTableName = */ 0, - }, - - /* AUX_THERM (AUX_THERM pin) */ - Package() - { - /* .sName = */ "AUX_THERM", - /* .eChannel = */ 7, - /* .eEnable = */ 1, - /* .ucTriggers = */ 0x0, - /* .scalingFactor.num = */ 1, - /* .scalingFactor.den = */ 1, - /* .eScaling = */ 3, - /* .uInterpolationTableName = */ 0, - }, - - /* SKIN_THERM (AUX_THERM pin) */ - Package() - { - /* .sName = */ "SKIN_THERM", - /* .eChannel = */ 0, - /* .eEnable = */ 1, - /* .ucTriggers = */ 0x0, - /* .scalingFactor.num = */ 1, - /* .scalingFactor.den = */ 1, - /* .eScaling = */ 3, - /* .uInterpolationTableName = */ 0, - }, - - /* PMIC_TEMP2 (internal sensor) */ - Package() - { - /* .sName = */ "PMIC_TEMP2", - /* .eChannel = */ 12, - /* .eEnable = */ 1, - /* .ucTriggers = */ 0x0, - /* .scalingFactor.num = */ 3, - /* .scalingFactor.den = */ 2, - /* .eScaling = */ 2, - /* .uInterpolationTableName = */ FGDT, - }, - - /* CHG_TEMP (internal sensor) */ - Package() - { - /* .sName = */ "CHG_TEMP", - /* .eChannel = */ 13, - /* .eEnable = */ 1, - /* .ucTriggers = */ 0x0, - /* .scalingFactor.num = */ 3, - /* .scalingFactor.den = */ 2, - /* .eScaling = */ 2, - /* .uInterpolationTableName = */ FGCT, - }, - - /* USB_IN (USB_IN pin) */ - Package() - { - /* .sName = */ "USB_IN", - /* .eChannel = */ 8, - /* .eEnable = */ 1, - /* .ucTriggers = */ 0x0, - /* .scalingFactor.num = */ 1, - /* .scalingFactor.den = */ 8, - /* .eScaling = */ 0, - /* .uInterpolationTableName = */ 0, - }, - - /* USB_IN_I (USB_IN pin) */ - Package() - { - /* .sName = */ "USB_IN_I", - /* .eChannel = */ 9, - /* .eEnable = */ 1, - /* .ucTriggers = */ 0x0, - /* .scalingFactor.num = */ 1, - /* .scalingFactor.den = */ 1, - /* .eScaling = */ 4, - /* .uInterpolationTableName = */ 0, - }, - - /* DC_IN (DC_IN pin) */ - Package() - { - /* .sName = */ "DC_IN", - /* .eChannel = */ 10, - /* .eEnable = */ 1, - /* .ucTriggers = */ 0x0, - /* .scalingFactor.num = */ 1, - /* .scalingFactor.den = */ 8, - /* .eScaling = */ 0, - /* .uInterpolationTableName = */ 0, - }, - - /* DC_IN_I (DC_IN pin) */ - Package() - { - /* .sName = */ "DC_IN_I", - /* .eChannel = */ 11, - /* .eEnable = */ 1, - /* .ucTriggers = */ 0x0, - /* .scalingFactor.num = */ 1, - /* .scalingFactor.den = */ 1, - /* .eScaling = */ 4, - /* .uInterpolationTableName = */ 0, - }, - - /* FG_GPIO */ - Package() - { - /* .sName = */ "FG_GPIO", - /* .eChannel = */ 14, - /* .eEnable = */ 0, - /* .ucTriggers = */ 0x0, - /* .scalingFactor.num = */ 1, - /* .scalingFactor.den = */ 2, - /* .eScaling = */ 0, - /* .uInterpolationTableName = */ 0, - }, - }) - } - - /* - * Die temperature sensor scaling table - * - * The first column in the table is sensor voltage in millivolts and the - * second column is the temperature in milli degrees C. - * - * Scaling equation: - * - * milliDegC = (uV - 600000) / 2 + 25000 - * - */ - Method (FGDT) - { - Return (Package() - { - Package(){ 450, 0xFFFF3CB0}, // -50000 - Package(){ 870, 160000} - }) - } - - /* - * NOTE: CHG_TEMP on PMI8998 uses fab-dependent scaling in the driver. - * This is the default scaling if no fab-dependent scaling is found. - * It corresponds to GF. - */ - /* - * Charger temperature sensor scaling table - * - * The first column in the table is sensor voltage in millivolts and the - * second column is the temperature in milli degrees C. - * - * Scaling equation: - * - * milliDegC = (1303168 - uV) / 3.784 + 25000 - * - */ - Method (FGCT) - { - Return (Package() - { - Package(){ 1587, 0xFFFF3CB0}, // -50000 - Package(){ 792, 160000} - }) - } -} - -Include("cust_adc.asl") diff --git a/legacy/dipper/cust_adc.asl b/legacy/dipper/cust_adc.asl deleted file mode 100644 index 4f188a1..0000000 --- a/legacy/dipper/cust_adc.asl +++ /dev/null @@ -1,898 +0,0 @@ -/*============================================================================ - FILE: cust_adc.asl - - OVERVIEW: This file contains the board-specific configuration info for - ADC1 - qcadc analog-to-digital converter (ADC): channel - configurations, scaling functions, look-up tables, etc. - - DEPENDENCIES: None - -============================================================================*/ -/*---------------------------------------------------------------------------- - * QCADC - * -------------------------------------------------------------------------*/ - -Scope(\_SB.ADC1) -{ - /*---------------------------------------------------------------------------- - * Voltage ADC (VADC) Configuration - * -------------------------------------------------------------------------*/ - /* - * VADC Channel Configuration Table - * - * The following table is the list of channels the ADC can read. Channels may - * be added or removed. Below is a description of each field: - * - * sName: - * Appropriate string name for the channel from AdcInputs.h. - * - * uAdcHardwareChannel: - * AMUX channel. - * - * eSettlingDelay: - * Holdoff time to allow the voltage to settle before reading the channel. - * 0 - VADC_SETTLING_DELAY_0_US - * 1 - VADC_SETTLING_DELAY_100_US - * 2 - VADC_SETTLING_DELAY_200_US - * 3 - VADC_SETTLING_DELAY_300_US - * 4 - VADC_SETTLING_DELAY_400_US - * 5 - VADC_SETTLING_DELAY_500_US - * 6 - VADC_SETTLING_DELAY_600_US - * 7 - VADC_SETTLING_DELAY_700_US - * 8 - VADC_SETTLING_DELAY_800_US - * 9 - VADC_SETTLING_DELAY_900_US - * 10 - VADC_SETTLING_DELAY_1_MS - * 11 - VADC_SETTLING_DELAY_2_MS - * 12 - VADC_SETTLING_DELAY_4_MS - * 13 - VADC_SETTLING_DELAY_6_MS - * 14 - VADC_SETTLING_DELAY_8_MS - * 15 - VADC_SETTLING_DELAY_10_MS - * - * eAverageMode: - * Obtains N ADC readings and averages them together. - * 0 - VADC_AVERAGE_1_SAMPLE - * 1 - VADC_AVERAGE_2_SAMPLES - * 2 - VADC_AVERAGE_4_SAMPLES - * 3 - VADC_AVERAGE_8_SAMPLES - * 4 - VADC_AVERAGE_16_SAMPLES - * - * eDecimationRatio: - * The decimation ratio. - * 0 - VADC_DECIMATION_RATIO_256 - * 1 - VADC_DECIMATION_RATIO_512 - * 2 - VADC_DECIMATION_RATIO_1024 - * - * eCalMethod: - * Calibration method. - * 0 - VADC_CAL_METHOD_NO_CAL - * 1 - VADC_CAL_METHOD_RATIOMETRIC - * 2 - VADC_CAL_METHOD_ABSOLUTE - * - * scalingFactor.num: - * Numerator of the channel scaling - * - * scalingFactor.den: - * Denominator of the channel scaling - * - * eScalingMethod: - * The scaling method to use. - * 0 - VADC_SCALE_TO_MILLIVOLTS - * 1 - VADC_SCALE_INTERPOLATE_FROM_MILLIVOLTS (requires uInterpolationTableName) - * 2 - VADC_SCALE_THERMISTOR (requires uPullUp and uInterpolationTableName) - * - * uPullUp: - * The pull up resistor value. Use with eScalingMethod == VADC_SCALE_THERMISTOR, - * otherwise, 0. - * - * uInterpolationTableName: - * The name of the lookup table in ACPI that will be interpolated to obtain - * a physical value. Note that the physical value (which has default units - * of millivolts unless custom scaling function is used) is passed as the - * input. This value corresponds to the first column of the table. The - * scaled output appears in the physical adc result. - * 0 - No interpolation table - * WXYZ - Where 'WXYZ' is the interpolation table name - * - * uScalingFunctionName: - * The name of the function to call in the ACPI table to perform custom - * scaling. The input to the custom scaling function is defined by - * eScalingFunctionInput. The output of the custom scaling function is - * the physical value. - * 0 - No scaling function - * WXYZ - Where 'WXYZ' is the scaling function name - * - * Note: if both a custon scaling function & interpolation table are used - * the custom scaling function is called first. - * - * eScalingFunctionInput: - * Defines which ADC result is passed to the custom scaling function. - * 0 - VADC_SCALING_FUNCTION_INPUT_PHYSICAL - * 1 - VADC_SCALING_FUNCTION_INPUT_PERCENT - * 2 - VADC_SCALING_FUNCTION_INPUT_MICROVOLTS - * 3 - VADC_SCALING_FUNCTION_INPUT_CODE - * - */ - Method (CHAN) - { - Return (Package() - { - /* VPH_PWR (VPH_PWR_SNS pin) */ - Package() - { - /* .sName = */ "VPH_PWR", - /* .uAdcHardwareChannel = */ 0x83, - /* .eSettlingDelay = */ 0, - /* .eAverageMode = */ 0, - /* .eDecimationRatio = */ 2, - /* .eCalMethod = */ 2, - /* .scalingFactor.num = */ 1, - /* .scalingFactor.den = */ 3, - /* .eScalingMethod = */ 0, - /* .uPullUp = */ 0, - /* .uInterpolationTableName = */ 0, - /* .uScalingFunctionName = */ 0, - /* .eScalingFunctionInput = */ 0, - }, - - /* VCOIN (VCOIN pin) */ - Package() - { - /* .sName = */ "VCOIN", - /* .uAdcHardwareChannel = */ 0x85, - /* .eSettlingDelay = */ 0, - /* .eAverageMode = */ 0, - /* .eDecimationRatio = */ 2, - /* .eCalMethod = */ 2, - /* .scalingFactor.num = */ 1, - /* .scalingFactor.den = */ 3, - /* .eScalingMethod = */ 0, - /* .uPullUp = */ 0, - /* .uInterpolationTableName = */ 0, - /* .uScalingFunctionName = */ 0, - /* .eScalingFunctionInput = */ 0, - }, - - /* PMIC_TEMP1 (internal sensor) */ - Package() - { - /* .sName = */ "PMIC_THERM", - /* .uAdcHardwareChannel = */ 0x6, - /* .eSettlingDelay = */ 0, - /* .eAverageMode = */ 0, - /* .eDecimationRatio = */ 2, - /* .eCalMethod = */ 2, - /* .scalingFactor.num = */ 1, - /* .scalingFactor.den = */ 1, - /* .eScalingMethod = */ 0, - /* .uPullUp = */ 0, - /* .uInterpolationTableName = */ 0, - /* .uScalingFunctionName = */ PTCF, - /* .eScalingFunctionInput = */ 2, - }, - - /* XO_THERM (XO_THERM pin) */ - Package() - { - /* .sName = */ "XO_THERM", - /* .uAdcHardwareChannel = */ 0x4c, - /* .eSettlingDelay = */ 8, - /* .eAverageMode = */ 0, - /* .eDecimationRatio = */ 2, - /* .eCalMethod = */ 1, - /* .scalingFactor.num = */ 1, - /* .scalingFactor.den = */ 1, - /* .eScalingMethod = */ 2, - /* .uPullUp = */ 100000, - /* .uInterpolationTableName = */ XTTB, - /* .uScalingFunctionName = */ 0, - /* .eScalingFunctionInput = */ 0, - }, - - /* XO_THERM_GPS (XO_THERM pin) */ - Package() - { - /* .sName = */ "XO_THERM_GPS", - /* .uAdcHardwareChannel = */ 0x4c, - /* .eSettlingDelay = */ 8, - /* .eAverageMode = */ 2, - /* .eDecimationRatio = */ 2, - /* .eCalMethod = */ 1, - /* .scalingFactor.num = */ 1, - /* .scalingFactor.den = */ 1, - /* .eScalingMethod = */ 2, - /* .uPullUp = */ 100000, - /* .uInterpolationTableName = */ XTTB, - /* .uScalingFunctionName = */ 0, - /* .eScalingFunctionInput = */ 0, - }, - - /* SYS_THERM1 (AMUX_1 pin) */ - Package() - { - /* .sName = */ "SYS_THERM1", - /* .uAdcHardwareChannel = */ 0x4d, - /* .eSettlingDelay = */ 1, - /* .eAverageMode = */ 0, - /* .eDecimationRatio = */ 2, - /* .eCalMethod = */ 1, - /* .scalingFactor.num = */ 1, - /* .scalingFactor.den = */ 1, - /* .eScalingMethod = */ 2, - /* .uPullUp = */ 100000, - /* .uInterpolationTableName = */ SYTB, - /* .uScalingFunctionName = */ 0, - /* .eScalingFunctionInput = */ 0, - }, - - /* SYS_THERM2 (AMUX_2 pin) */ - Package() - { - /* .sName = */ "SYS_THERM2", - /* .uAdcHardwareChannel = */ 0x4e, - /* .eSettlingDelay = */ 1, - /* .eAverageMode = */ 0, - /* .eDecimationRatio = */ 2, - /* .eCalMethod = */ 1, - /* .scalingFactor.num = */ 1, - /* .scalingFactor.den = */ 1, - /* .eScalingMethod = */ 2, - /* .uPullUp = */ 100000, - /* .uInterpolationTableName = */ SYTB, - /* .uScalingFunctionName = */ 0, - /* .eScalingFunctionInput = */ 0, - }, - - /* PA_THERM (AMUX_3 pin) */ - Package() - { - /* .sName = */ "PA_THERM", - /* .uAdcHardwareChannel = */ 0x4f, - /* .eSettlingDelay = */ 1, - /* .eAverageMode = */ 0, - /* .eDecimationRatio = */ 2, - /* .eCalMethod = */ 1, - /* .scalingFactor.num = */ 1, - /* .scalingFactor.den = */ 1, - /* .eScalingMethod = */ 2, - /* .uPullUp = */ 100000, - /* .uInterpolationTableName = */ SYTB, - /* .uScalingFunctionName = */ 0, - /* .eScalingFunctionInput = */ 0, - }, - - /* PA_THERM1 (AMUX_4 pin) */ - Package() - { - /* .sName = */ "PA_THERM1", - /* .uAdcHardwareChannel = */ 0x50, - /* .eSettlingDelay = */ 1, - /* .eAverageMode = */ 0, - /* .eDecimationRatio = */ 2, - /* .eCalMethod = */ 1, - /* .scalingFactor.num = */ 1, - /* .scalingFactor.den = */ 1, - /* .eScalingMethod = */ 2, - /* .uPullUp = */ 100000, - /* .uInterpolationTableName = */ SYTB, - /* .uScalingFunctionName = */ 0, - /* .eScalingFunctionInput = */ 0, - }, - - /* SYS_THERM3 (AMUX_5 pin) */ - Package() - { - /* .sName = */ "SYS_THERM3", - /* .uAdcHardwareChannel = */ 0x51, - /* .eSettlingDelay = */ 1, - /* .eAverageMode = */ 0, - /* .eDecimationRatio = */ 2, - /* .eCalMethod = */ 1, - /* .scalingFactor.num = */ 1, - /* .scalingFactor.den = */ 1, - /* .eScalingMethod = */ 2, - /* .uPullUp = */ 100000, - /* .uInterpolationTableName = */ SYTB, - /* .uScalingFunctionName = */ 0, - /* .eScalingFunctionInput = */ 0, - }, - }) - } - - /* - * System Thermistor Table - * - * The first column in the table is thermistor resistance R_T in ohms - * and the second column is the temperature in degrees C. - * - * VDD ___ - * | - * > - * P_PU < - * > - * | - * | - * |- - - V_T - * | - * > - * R_T < 100 kOhms (NTCG104EF104FB) - * > - * | - * | - * Gnd - * - */ - Method (SYTB) - { - Return (Package() - { - Package(){4251000, 0xFFFFFFD8}, // -40 - Package(){3004900, 0xFFFFFFDD}, // -35 - Package(){2148900, 0xFFFFFFE2}, // -30 - Package(){1553800, 0xFFFFFFE7}, // -25 - Package(){1135300, 0xFFFFFFEC}, // -20 - Package(){ 837800, 0xFFFFFFF1}, // -15 - Package(){ 624100, 0xFFFFFFF6}, // -10 - Package(){ 469100, 0xFFFFFFFB}, // -5 - Package(){ 355600, 0}, - Package(){ 271800, 5}, - Package(){ 209400, 10}, - Package(){ 162500, 15}, - Package(){ 127000, 20}, - Package(){ 100000, 25}, - Package(){ 79200, 30}, - Package(){ 63200, 35}, - Package(){ 50700, 40}, - Package(){ 40900, 45}, - Package(){ 33200, 50}, - Package(){ 27100, 55}, - Package(){ 22200, 60}, - Package(){ 18300, 65}, - Package(){ 15200, 70}, - Package(){ 12600, 75}, - Package(){ 10600, 80}, - Package(){ 8890, 85}, - Package(){ 7500, 90}, - Package(){ 6360, 95}, - Package(){ 5410, 100}, - Package(){ 4620, 105}, - Package(){ 3970, 110}, - Package(){ 3420, 115}, - Package(){ 2950, 120}, - Package(){ 2560, 125} - }) - } - - /* - * XO Thermistor Table - * - * This lookup table is used to convert the XO thermistor reading to temperature - * in degrees C multiplied by a factor of 1024. - * - * The first column in the table is thermistor resistance R_T in ohms - * - * The second column is the temperature in degrees Celsius multiplied by a factor - * of 1024. - * - * VDD ___ - * | - * > - * P_PU < 100 kOhms - * > - * | - * | - * |- - - V_T - * | - * > - * R_T < 100 kOhms (NTCG104EF104FB) - * > - * | - * | - * Gnd - * - */ - Method (XTTB) - { - Return (Package() - { - Package(){4250657, 0xFFFF6000}, // -40960 - Package(){3962085, 0xFFFF6400}, // -39936 - Package(){3694875, 0xFFFF6800}, // -38912 - Package(){3447322, 0xFFFF6C00}, // -37888 - Package(){3217867, 0xFFFF7000}, // -36864 - Package(){3005082, 0xFFFF7400}, // -35840 - Package(){2807660, 0xFFFF7800}, // -34816 - Package(){2624405, 0xFFFF7C00}, // -33792 - Package(){2454218, 0xFFFF8000}, // -32768 - Package(){2296094, 0xFFFF8400}, // -31744 - Package(){2149108, 0xFFFF8800}, // -30720 - Package(){2012414, 0xFFFF8C00}, // -29696 - Package(){1885232, 0xFFFF9000}, // -28672 - Package(){1766846, 0xFFFF9400}, // -27648 - Package(){1656598, 0xFFFF9800}, // -26624 - Package(){1553884, 0xFFFF9C00}, // -25600 - Package(){1458147, 0xFFFFA000}, // -24576 - Package(){1368873, 0xFFFFA400}, // -23552 - Package(){1285590, 0xFFFFA800}, // -22528 - Package(){1207863, 0xFFFFAC00}, // -21504 - Package(){1135290, 0xFFFFB000}, // -20480 - Package(){1067501, 0xFFFFB400}, // -19456 - Package(){1004155, 0xFFFFB800}, // -18432 - Package(){ 944935, 0xFFFFBC00}, // -17408 - Package(){ 889550, 0xFFFFC000}, // -16384 - Package(){ 837731, 0xFFFFC400}, // -15360 - Package(){ 789229, 0xFFFFC800}, // -14336 - Package(){ 743813, 0xFFFFCC00}, // -13312 - Package(){ 701271, 0xFFFFD000}, // -12288 - Package(){ 661405, 0xFFFFD400}, // -11264 - Package(){ 624032, 0xFFFFD800}, // -10240 - Package(){ 588982, 0xFFFFDC00}, // -9216 - Package(){ 556100, 0xFFFFE000}, // -8192 - Package(){ 525239, 0xFFFFE400}, // -7168 - Package(){ 496264, 0xFFFFE800}, // -6144 - Package(){ 469050, 0xFFFFEC00}, // -5120 - Package(){ 443480, 0xFFFFF000}, // -4096 - Package(){ 419448, 0xFFFFF400}, // -3072 - Package(){ 396851, 0xFFFFF800}, // -2048 - Package(){ 375597, 0xFFFFFC00}, // -1024 - Package(){ 355598, 0}, - Package(){ 336775, 1024}, - Package(){ 319052, 2048}, - Package(){ 302359, 3072}, - Package(){ 286630, 4096}, - Package(){ 271806, 5120}, - Package(){ 257829, 6144}, - Package(){ 244646, 7168}, - Package(){ 232209, 8192}, - Package(){ 220471, 9216}, - Package(){ 209390, 10240}, - Package(){ 198926, 11264}, - Package(){ 189040, 12288}, - Package(){ 179698, 13312}, - Package(){ 170868, 14336}, - Package(){ 162519, 15360}, - Package(){ 154622, 16384}, - Package(){ 147150, 17408}, - Package(){ 140079, 18432}, - Package(){ 133385, 19456}, - Package(){ 127046, 20480}, - Package(){ 121042, 21504}, - Package(){ 115352, 22528}, - Package(){ 109960, 23552}, - Package(){ 104848, 24576}, - Package(){ 100000, 25600}, - Package(){ 95402, 26624}, - Package(){ 91038, 27648}, - Package(){ 86897, 28672}, - Package(){ 82965, 29696}, - Package(){ 79232, 30720}, - Package(){ 75686, 31744}, - Package(){ 72316, 32768}, - Package(){ 69114, 33792}, - Package(){ 66070, 34816}, - Package(){ 63176, 35840}, - Package(){ 60423, 36864}, - Package(){ 57804, 37888}, - Package(){ 55312, 38912}, - Package(){ 52940, 39936}, - Package(){ 50681, 40960}, - Package(){ 48531, 41984}, - Package(){ 46482, 43008}, - Package(){ 44530, 44032}, - Package(){ 42670, 45056}, - Package(){ 40897, 46080}, - Package(){ 39207, 47104}, - Package(){ 37595, 48128}, - Package(){ 36057, 49152}, - Package(){ 34590, 50176}, - Package(){ 33190, 51200}, - Package(){ 31853, 52224}, - Package(){ 30577, 53248}, - Package(){ 29358, 54272}, - Package(){ 28194, 55296}, - Package(){ 27082, 56320}, - Package(){ 26020, 57344}, - Package(){ 25004, 58368}, - Package(){ 24033, 59392}, - Package(){ 23104, 60416}, - Package(){ 22216, 61440}, - Package(){ 21367, 62464}, - Package(){ 20554, 63488}, - Package(){ 19776, 64512}, - Package(){ 19031, 65536}, - Package(){ 18318, 66560}, - Package(){ 17636, 67584}, - Package(){ 16982, 68608}, - Package(){ 16355, 69632}, - Package(){ 15755, 70656}, - Package(){ 15180, 71680}, - Package(){ 14628, 72704}, - Package(){ 14099, 73728}, - Package(){ 13592, 74752}, - Package(){ 13106, 75776}, - Package(){ 12640, 76800}, - Package(){ 12192, 77824}, - Package(){ 11762, 78848}, - Package(){ 11350, 79872}, - Package(){ 10954, 80896}, - Package(){ 10574, 81920}, - Package(){ 10209, 82944}, - Package(){ 9858, 83968}, - Package(){ 9521, 84992}, - Package(){ 9197, 86016}, - Package(){ 8886, 87040}, - Package(){ 8587, 88064}, - Package(){ 8299, 89088}, - Package(){ 8023, 90112}, - Package(){ 7757, 91136}, - Package(){ 7501, 92160}, - Package(){ 7254, 93184}, - Package(){ 7017, 94208}, - Package(){ 6789, 95232}, - Package(){ 6570, 96256}, - Package(){ 6358, 97280}, - Package(){ 6155, 98304}, - Package(){ 5959, 99328}, - Package(){ 5770, 100352}, - Package(){ 5588, 101376}, - Package(){ 5412, 102400}, - Package(){ 5243, 103424}, - Package(){ 5080, 104448}, - Package(){ 4923, 105472}, - Package(){ 4771, 106496}, - Package(){ 4625, 107520}, - Package(){ 4484, 108544}, - Package(){ 4348, 109568}, - Package(){ 4217, 110592}, - Package(){ 4090, 111616}, - Package(){ 3968, 112640}, - Package(){ 3850, 113664}, - Package(){ 3736, 114688}, - Package(){ 3626, 115712}, - Package(){ 3519, 116736}, - Package(){ 3417, 117760}, - Package(){ 3317, 118784}, - Package(){ 3221, 119808}, - Package(){ 3129, 120832}, - Package(){ 3039, 121856}, - Package(){ 2952, 122880}, - Package(){ 2868, 123904}, - Package(){ 2787, 124928}, - Package(){ 2709, 125952}, - Package(){ 2633, 126976}, - Package(){ 2560, 128000}, - Package(){ 2489, 129024}, - Package(){ 2420, 130048} - }) - } - - /*---------------------------------------------------------------------------- - * Voltage ADC Threshold Monitor (VADCTM) Configuration - * -------------------------------------------------------------------------*/ - /* - * VADCTM Measurement Configuration Table - * - * The following is a list of periodic measurements that the VADCTM - * can periodically monitor. Thresholds for these measurements are set - * in software. - * - * sName: - * Appropriate string name for the channel from AdcInputs.h. - * - * uAdcHardwareChannel: - * AMUX channel. - * - * eSettlingDelay: - * Holdoff time to allow the voltage to settle before reading the channel. - * 0 - VADCTM_SETTLING_DELAY_0_US - * 1 - VADCTM_SETTLING_DELAY_100_US - * 2 - VADCTM_SETTLING_DELAY_200_US - * 3 - VADCTM_SETTLING_DELAY_300_US - * 4 - VADCTM_SETTLING_DELAY_400_US - * 5 - VADCTM_SETTLING_DELAY_500_US - * 6 - VADCTM_SETTLING_DELAY_600_US - * 7 - VADCTM_SETTLING_DELAY_700_US - * 8 - VADCTM_SETTLING_DELAY_800_US - * 9 - VADCTM_SETTLING_DELAY_900_US - * 10 - VADCTM_SETTLING_DELAY_1_MS - * 11 - VADCTM_SETTLING_DELAY_2_MS - * 12 - VADCTM_SETTLING_DELAY_4_MS - * 13 - VADCTM_SETTLING_DELAY_6_MS - * 14 - VADCTM_SETTLING_DELAY_8_MS - * 15 - VADCTM_SETTLING_DELAY_10_MS - * - * eMeasIntervalTimeSelect: - * The interval timer to use for the measurement period. - * 0 - VADCTM_MEAS_INTERVAL_TIME1 - * 1 - VADCTM_MEAS_INTERVAL_TIME2 - * 2 - VADCTM_MEAS_INTERVAL_TIME3 - * - * bAlwaysOn: - * Keep the measurement always sampling even if no thresholds are set. - * 0 - FALSE - * 1 - TRUE - * - * eCalMethod: - * Calibration method. - * 0 - VADC_CAL_METHOD_NO_CAL - * 1 - VADC_CAL_METHOD_RATIOMETRIC - * 2 - VADC_CAL_METHOD_ABSOLUTE - * - * scalingFactor.num: - * Numerator of the channel scaling - * - * scalingFactor.den: - * Denominator of the channel scaling - * - * eScalingMethod: - * The scaling method to use. - * 0 - VADC_SCALE_TO_MILLIVOLTS - * 1 - VADC_SCALE_INTERPOLATE_FROM_MILLIVOLTS (requires uInterpolationTableName) - * 2 - VADC_SCALE_THERMISTOR (requires uPullUp and uInterpolationTableName) - * - * uPullUp: - * The pull up resistor value. Use with eScalingMethod == VADC_SCALE_THERMISTOR, - * otherwise, 0. - * - * uInterpolationTableName: - * The name of the lookup table in ACPI that will be interpolated to obtain - * a physical value. Note that the physical value (which has default units - * of millivolts unless custom scaling function is used) is passed as the - * input. This value corresponds to the first column of the table. The - * scaled output appears in the physical adc result. - * 0 - No interpolation table - * WXYZ - Where 'WXYZ' is the interpolation table name - * - * uScalingFunctionName: - * The name of the function to call in the ACPI table to perform custom - * scaling. The input to the custom scaling function is defined by - * eScalingFunctionInput. The output of the custom scaling function is - * the physical value. - * 0 - No scaling function - * WXYZ - Where 'WXYZ' is the scaling function name - * - * Note: if both a custon scaling function & interpolation table are used - * the custom scaling function is called first. - * - * uInverseFunctionName: - * The name of the inverse scaling for uScalingFunctionName. - * 0 - No scaling function - * WXYZ - Where 'WXYZ' is the scaling function name - * - * eScalingFunctionInput: - * Defines which ADC result is passed to the custom scaling function. - * 0 - VADC_SCALING_FUNCTION_INPUT_PHYSICAL - * 1 - VADC_SCALING_FUNCTION_INPUT_PERCENT - * 2 - VADC_SCALING_FUNCTION_INPUT_MICROVOLTS - * 3 - VADC_SCALING_FUNCTION_INPUT_CODE - * - * nPhysicalMin: - * Minimum threshold value in physical units. - * - * nPhysicalMax: - * Maximum threshold value in physical units. - * - */ - Method (VTCH) - { - Return (Package() - { - /* VPH_PWR (VPH_PWR_SNS pin) */ - Package() - { - /* .sName = */ "VPH_PWR", - /* .uAdcHardwareChannel = */ 0x83, - /* .eSettlingDelay = */ 0, - /* .eMeasIntervalTimeSelect = */ 1, - /* .bAlwaysOn = */ 0, - /* .eCalMethod = */ 2, - /* .scalingFactor.num = */ 1, - /* .scalingFactor.den = */ 3, - /* .eScalingMethod = */ 0, - /* .uPullUp = */ 0, - /* .uInterpolationTableName = */ 0, - /* .uScalingFunctionName = */ 0, - /* .uInverseFunctionName = */ 0, - /* .eScalingFunctionInput = */ 0, - /* .nPhysicalMin = */ 0, - /* .nPhysicalMax = */ 5625, - }, - - /* PMIC_TEMP1 (internal sensor) */ - Package() - { - /* .sName = */ "PMIC_THERM", - /* .uAdcHardwareChannel = */ 0x6, - /* .eSettlingDelay = */ 0, - /* .eMeasIntervalTimeSelect = */ 0, - /* .bAlwaysOn = */ 0, - /* .eCalMethod = */ 2, - /* .scalingFactor.num = */ 1, - /* .scalingFactor.den = */ 1, - /* .eScalingMethod = */ 0, - /* .uPullUp = */ 0, - /* .uInterpolationTableName = */ 0, - /* .uScalingFunctionName = */ PTCF, - /* .uInverseFunctionName = */ PTCI, - /* .eScalingFunctionInput = */ 2, - /* .nPhysicalMin = */ 0xFFFF3CB0, // -50000 - /* .nPhysicalMax = */ 150000, - }, - - /* SYS_THERM1 (AMUX_1 pin) */ - Package() - { - /* .sName = */ "SYS_THERM1", - /* .uAdcHardwareChannel = */ 0x4d, - /* .eSettlingDelay = */ 1, - /* .eMeasIntervalTimeSelect = */ 0, - /* .bAlwaysOn = */ 0, - /* .eCalMethod = */ 1, - /* .scalingFactor.num = */ 1, - /* .scalingFactor.den = */ 1, - /* .eScalingMethod = */ 2, - /* .uPullUp = */ 100000, - /* .uInterpolationTableName = */ SYTB, - /* .uScalingFunctionName = */ 0, - /* .uInverseFunctionName = */ 0, - /* .eScalingFunctionInput = */ 0, - /* .nPhysicalMin = */ 0xFFFFFFD8, // -40 - /* .nPhysicalMax = */ 125, - }, - - /* SYS_THERM2 (AMUX_2 pin) */ - Package() - { - /* .sName = */ "SYS_THERM2", - /* .uAdcHardwareChannel = */ 0x4e, - /* .eSettlingDelay = */ 1, - /* .eMeasIntervalTimeSelect = */ 0, - /* .bAlwaysOn = */ 0, - /* .eCalMethod = */ 1, - /* .scalingFactor.num = */ 1, - /* .scalingFactor.den = */ 1, - /* .eScalingMethod = */ 2, - /* .uPullUp = */ 100000, - /* .uInterpolationTableName = */ SYTB, - /* .uScalingFunctionName = */ 0, - /* .uInverseFunctionName = */ 0, - /* .eScalingFunctionInput = */ 0, - /* .nPhysicalMin = */ 0xFFFFFFD8, // -40 - /* .nPhysicalMax = */ 125, - }, - - /* PA_THERM (AMUX_3 pin) */ - Package() - { - /* .sName = */ "PA_THERM", - /* .uAdcHardwareChannel = */ 0x4f, - /* .eSettlingDelay = */ 1, - /* .eMeasIntervalTimeSelect = */ 0, - /* .bAlwaysOn = */ 0, - /* .eCalMethod = */ 1, - /* .scalingFactor.num = */ 1, - /* .scalingFactor.den = */ 1, - /* .eScalingMethod = */ 2, - /* .uPullUp = */ 100000, - /* .uInterpolationTableName = */ SYTB, - /* .uScalingFunctionName = */ 0, - /* .uInverseFunctionName = */ 0, - /* .eScalingFunctionInput = */ 0, - /* .nPhysicalMin = */ 0xFFFFFFD8, // -40 - /* .nPhysicalMax = */ 125, - }, - - /* PA_THERM1 (AMUX_4 pin) */ - Package() - { - /* .sName = */ "PA_THERM1", - /* .uAdcHardwareChannel = */ 0x50, - /* .eSettlingDelay = */ 1, - /* .eMeasIntervalTimeSelect = */ 0, - /* .bAlwaysOn = */ 0, - /* .eCalMethod = */ 1, - /* .scalingFactor.num = */ 1, - /* .scalingFactor.den = */ 1, - /* .eScalingMethod = */ 2, - /* .uPullUp = */ 100000, - /* .uInterpolationTableName = */ SYTB, - /* .uScalingFunctionName = */ 0, - /* .uInverseFunctionName = */ 0, - /* .eScalingFunctionInput = */ 0, - /* .nPhysicalMin = */ 0xFFFFFFD8, // -40 - /* .nPhysicalMax = */ 125, - }, - - /* SYS_THERM3 (AMUX_5 pin) */ - Package() - { - /* .sName = */ "SYS_THERM3", - /* .uAdcHardwareChannel = */ 0x51, - /* .eSettlingDelay = */ 1, - /* .eMeasIntervalTimeSelect = */ 0, - /* .bAlwaysOn = */ 0, - /* .eCalMethod = */ 1, - /* .scalingFactor.num = */ 1, - /* .scalingFactor.den = */ 1, - /* .eScalingMethod = */ 2, - /* .uPullUp = */ 100000, - /* .uInterpolationTableName = */ SYTB, - /* .uScalingFunctionName = */ 0, - /* .uInverseFunctionName = */ 0, - /* .eScalingFunctionInput = */ 0, - /* .nPhysicalMin = */ 0xFFFFFFD8, // -40 - /* .nPhysicalMax = */ 125, - }, - }) - } - - /* - * General VADCTM measurement timer properties - * - * eMeasIntervalTime1: - * Interval timer 1 periodic value. - * 0 - VADCTM_MEAS_INTERVAL_TIME1_0_MS - * 1 - VADCTM_MEAS_INTERVAL_TIME1_1P0_MS - * 2 - VADCTM_MEAS_INTERVAL_TIME1_2P0_MS - * 3 - VADCTM_MEAS_INTERVAL_TIME1_3P9_MS - * 4 - VADCTM_MEAS_INTERVAL_TIME1_7P8_MS - * 5 - VADCTM_MEAS_INTERVAL_TIME1_15P6_MS - * 6 - VADCTM_MEAS_INTERVAL_TIME1_31P1_MS - * 7 - VADCTM_MEAS_INTERVAL_TIME1_62P5_MS - * 8 - VADCTM_MEAS_INTERVAL_TIME1_125_MS - * 9 - VADCTM_MEAS_INTERVAL_TIME1_250_MS - * 10 - VADCTM_MEAS_INTERVAL_TIME1_500_MS - * 11 - VADCTM_MEAS_INTERVAL_TIME1_1000_MS - * 12 - VADCTM_MEAS_INTERVAL_TIME1_2000_MS - * 13 - VADCTM_MEAS_INTERVAL_TIME1_4000_MS - * 14 - VADCTM_MEAS_INTERVAL_TIME1_8000_MS - * 15 - VADCTM_MEAS_INTERVAL_TIME1_16000_MS - * - * eMeasIntervalTime2: - * Interval timer 2 periodic value. - * 0 - VADCTM_MEAS_INTERVAL_TIME2_0_MS - * 1 - VADCTM_MEAS_INTERVAL_TIME2_100_MS - * 2 - VADCTM_MEAS_INTERVAL_TIME2_200_MS - * 3 - VADCTM_MEAS_INTERVAL_TIME2_300_MS - * 4 - VADCTM_MEAS_INTERVAL_TIME2_400_MS - * 5 - VADCTM_MEAS_INTERVAL_TIME2_500_MS - * 6 - VADCTM_MEAS_INTERVAL_TIME2_600_MS - * 7 - VADCTM_MEAS_INTERVAL_TIME2_700_MS - * 8 - VADCTM_MEAS_INTERVAL_TIME2_800_MS - * 9 - VADCTM_MEAS_INTERVAL_TIME2_900_MS - * 10 - VADCTM_MEAS_INTERVAL_TIME2_1000_MS - * 11 - VADCTM_MEAS_INTERVAL_TIME2_1100_MS - * 12 - VADCTM_MEAS_INTERVAL_TIME2_1200_MS - * 13 - VADCTM_MEAS_INTERVAL_TIME2_1300_MS - * 14 - VADCTM_MEAS_INTERVAL_TIME2_1400_MS - * 15 - VADCTM_MEAS_INTERVAL_TIME2_1500_MS - * - * eMeasIntervalTime3: - * Interval timer 3 periodic value. - * 0 - VADCTM_MEAS_INTERVAL_TIME3_0_S - * 1 - VADCTM_MEAS_INTERVAL_TIME3_1_S - * 2 - VADCTM_MEAS_INTERVAL_TIME3_2_S - * 3 - VADCTM_MEAS_INTERVAL_TIME3_3_S - * 4 - VADCTM_MEAS_INTERVAL_TIME3_4_S - * 5 - VADCTM_MEAS_INTERVAL_TIME3_5_S - * 6 - VADCTM_MEAS_INTERVAL_TIME3_6_S - * 7 - VADCTM_MEAS_INTERVAL_TIME3_7_S - * 8 - VADCTM_MEAS_INTERVAL_TIME3_8_S - * 9 - VADCTM_MEAS_INTERVAL_TIME3_9_S - * 10 - VADCTM_MEAS_INTERVAL_TIME3_10_S - * 11 - VADCTM_MEAS_INTERVAL_TIME3_11_S - * 12 - VADCTM_MEAS_INTERVAL_TIME3_12_S - * 13 - VADCTM_MEAS_INTERVAL_TIME3_13_S - * 14 - VADCTM_MEAS_INTERVAL_TIME3_14_S - * 15 - VADCTM_MEAS_INTERVAL_TIME3_15_S - * - */ - Method (VTMT) - { - Return (Package() - { - /* .eMeasIntervalTime1 = */ 11, // 1000 ms - /* .eMeasIntervalTime2 = */ 1, // 100 ms - /* .eMeasIntervalTime3 = */ 5, // 5000 ms - }) - } -} diff --git a/legacy/dipper/cust_pmic_batt.asl b/legacy/dipper/cust_pmic_batt.asl deleted file mode 100644 index 7b0fcf7..0000000 --- a/legacy/dipper/cust_pmic_batt.asl +++ /dev/null @@ -1,50 +0,0 @@ -// This file contains the Power Management IC (PMIC) -// customer-modifiable ACPI configurations. -// - -//****************************************** -//Configs for Battery Manager Device: PMBT -//****************************************** -//-------------------- -//PMBT: Method(BBAT) -//-------------------- -Name(BFCC, 13100) //* (mWh), Full Charge Capacity -Name(PCT1, 5) //* (% of FCC), Default Alert 1 -Name(PCT2, 9) //* (% of FCC), Default Alert 2 - -//-------------------- -//PMBT: Method(BMNR) -//-------------------- -Name(CUST, "850_MTP") //* cust file identifier - -//-------------------- -//PMBT: Method(BPLT) -//-------------------- -Name(VNOM, 3800) //* (mV), Nominal Battery Voltage -Name(VLOW, 3300) //* (mV), Low Battery Voltage -Name(EMPT, 3200) //* (mV), VCutOff -Name(DCMA, 900) //* (mA), DC Current -Name(BOCP, 4500) //* (mA), OCP current used in BCL -Name(BVLO, 3000) //* (mV), BCL low Vbatt -Name(BLOP, 20) //* (%), BCL Low batt percent notification -Name(BNOP, 22) //* (%), BCL normal batt percent notification -Name(IFGD, 50) //* (mA), FG Iterm delta; (iterm + this value) determines when FG report 100% -Name(VFGD, 50) //* (mV), CC to CV Vdelta; (Vfloat - this value) determine when FG report 100% - -//-------------------------------- -//PMBT: Method(BJTA)/Method(BAT1) -//-------------------------------- -Name(VDD1, 4350) //* (mV), Battery-1: Float Voltage (Standard Zone) -Name(FCC1, 2100) //* (mA), Battery-1: Full Charge Current (Standard Zone) -Name(HCLI, 0) //* (degree C), hard-cold temperature limit -Name(SCLI, 10) //* (degree C), soft-cold temperature limit -Name(SHLI, 45) //* (degree C), soft-hot temperature limit -Name(HHLI, 55) //* (degree C), hard-hot temperature limit -Name(FVC1, 105) //* (mV), Float voltage compensation, when battery in JEITA soft-limit -Name(CCC1, 1000) //* (mA), Charge current compensation, when battery in JEITA soft-limit - -//-------------------- -//PMBT: Method(CTMC) -//-------------------- -Name(RID2, 15000) //* (Ohm), min RID for NORMAL category: 15K -Name(RID3, 140000) //* (Ohm), max RID for NORMAL category: 140K diff --git a/legacy/dipper/cust_thermal_zones.asl b/legacy/dipper/cust_thermal_zones.asl deleted file mode 100644 index f4b9f45..0000000 --- a/legacy/dipper/cust_thermal_zones.asl +++ /dev/null @@ -1,570 +0,0 @@ -// - //CPU Aggregator Device -- Required for Thermal Parking - Device(AGR0) - { - Name(_HID, "ACPI000C") - Name(_PUR, Package() {1, 0}) - Method(_OST, 0x3, NotSerialized) - { - Store(Arg2, \_SB_.PEP0.ROST) - } - } - - //--------------------------------------------------------------------- - // - // Thermal Zones for QC reference hardware - // - //TZ0 - TZ39 are thermal zones developed by QC for reference hardware - //and can be modified by the OEMs. - //--------------------------------------------------------------------- - - //--------------------------------------------------------------------- - // Thermal Zones(0-19) for CPU sensors - //24AD - Little CPU virtual sensor - //24AE - Big CPU virtual sensor - // This thermal zone is only used for temperature logging for little CPUs - // as you may notice that _PSV, _TC1, _TC2, _TSP params are removed. - // This is the passive cooling mechanism by dialing down frequency is now - // done actively by hardware. - //--------------------------------------------------------------------- - ThermalZone (TZ0) { - Name (_HID, "QCOM02B0") - Name (_UID, 0) - Name(_TZD, Package (){\_SB.SYSM.CLUS.CPU0, \_SB.SYSM.CLUS.CPU1, \_SB.SYSM.CLUS.CPU2, \_SB.SYSM.CLUS.CPU3}) - Method(_DEP) { - Return (Package() {\_SB.PEP0}) - } - } // end of TZ0 - - //Regular Thermal Zone for Little CPU TSENS to Park cores at 110C - ThermalZone (TZ1) { - Name (_HID, "QCOM02B0") - Name (_UID, 1) - Name(_TZD, Package (){\_SB.PEP0}) - Name(TPSV, 3830) - Method(_PSV) { Return (\_SB.TZ1.TPSV) } - Name(_MTL, 20) // minimum throttle limit - //Control how aggressively the thermal manager applies thermal - //throttling performance against temperature change. - Name(TTC1, 0) - Method(_TC1) { Return (\_SB.TZ1.TTC1) } - - // _TC2 Controls how aggressively the thermal manager applies thermal - // throttling performance against temperature delta between the - // current temperature and _PSV. - // once the temp goes above _PSV, we like to have aggressive - // throttling based on how far above the temp is above the threshold. - // Since that is controlled via _TC2, we like it to be high. - // please refer to the ACPI spec 6.0 to understand the significance of - // _TC2 or take a look at the explanation at the top of this file. - Name(TTC2, 1) - Method(_TC2) { Return (\_SB.TZ1.TTC2) } - - // Appropriate temperature sampling interval for the zone in tenths - // of a second. The thermal manager uses this interval to determine - // how often it should evaluate the thermal throttling performance. - // Must be greater than zero. For more information, see Thermal - // throttling algorithm on msdn page - // https://msdn.microsoft.com/en-us/library/windows/hardware/mt643928(v=vs.85).aspx - Name(TTSP, 50) - Method(_TSP) { Return (\_SB.TZ1.TTSP) } - - // This optional object evaluates to a recommended polling frequency - // (in tenths of seconds) for this thermal zone. A value of zero indicates - // that OSPM does not need to poll the temperature of this thermal zone in - // order to detect temperature changes (the hardware is capable of - // generating asynchronous notifications). - // TZP should be marked 0 for all thermal zones as our TSENS sensors - // generate interrupts to complete thermal IOCTL read call. - Name(_TZP, 0) - - Method(_DEP) { - Return (Package() {\_SB.PEP0}) - } - } // end of TZ1 - - // This thermal zone is only used for temperature logging for Big CPUs - // as you may notice that _PSV, _TC1, _TC2, _TSP params are removed. - // This is the passive cooling mechanism by dialing down frequency is now - // done actively by hardware. - ThermalZone (TZ2) { - Name (_HID, "QCOM02B1") - Name (_UID, 0) - Name(_TZD, Package (){\_SB.SYSM.CLUS.CPU4, \_SB.SYSM.CLUS.CPU5, \_SB.SYSM.CLUS.CPU6, \_SB.SYSM.CLUS.CPU7}) - Method(_DEP) { - Return (Package() {\_SB.PEP0}) - } - } // end of TZ2 - - //Regular Thermal Zone for BigCPU TSENS to Park cores at 110C - ThermalZone (TZ3) { - Name (_HID, "QCOM02B1") - Name (_UID, 1) - Name(_TZD, Package (){\_SB.PEP0}) - - Name(TPSV, 3830) - Method(_PSV) { Return (\_SB.TZ3.TPSV) } - Name(TTC1, 0) - Method(_TC1) { Return (\_SB.TZ3.TTC1) } - Name(TTC2, 1) - Method(_TC2) { Return (\_SB.TZ3.TTC2) } - Name(TTSP, 1) - Method(_TSP) { Return (\_SB.TZ3.TTSP) } - Name(_MTL, 20) // minimum throttle limit - Name(_TZP, 0) - - Method(_DEP) { - Return (Package() {\_SB.PEP0}) - } - } // end of TZ3 - - //--------------------------------------------------------------------- - // Thermal Zones(20-21) for GPU TSENS - // - // \_SB.GPU0 should be used for GPU thermal mitigation, and - // \_SB.GPU0.AVS0 should be used for MDSS/Video thermal mitigation. - // Currently there is no handling for Video thermal mitigation. - // When needed, Video will be added to GPU0.AVS0 interface. - //--------------------------------------------------------------------- - //Thermal zone for TSENS11 dial back GPUs at 95C - ThermalZone (TZ20) { - Name (_HID, "QCOM02AB") - Name (_UID, 0) - Name(_TZD, Package (){\_SB.GPU0}) - Name(TPSV, 3680) - Method(_PSV) { Return (\_SB.TZ20.TPSV) } - Name(TTC1, 1) - Method(_TC1) { Return (\_SB.TZ20.TTC1) } - // For non-cpu devices, tc2 should be atleast 5, please refer to the - // explanation at the top of the file or msdn link for thermal guide. - Name(TTC2, 2) - Method(_TC2) { Return (\_SB.TZ20.TTC2) } - // For non-cpu devices, _tsp should be 20 or 30 - Name(TTSP, 2) - Method(_TSP) { Return (\_SB.TZ20.TTSP) } - Name(_TZP, 0) - Method(_DEP) { - Return (Package() {\_SB.PEP0}) - } - } // end of TZ20 - - //Thermal zone for TSENS12 to dial back GPUs at 95C - ThermalZone (TZ21) { - Name (_HID, "QCOM02AC") - Name (_UID, 0) - Name(_TZD, Package (){\_SB.GPU0}) - Name(TPSV, 3680) - Method(_PSV) { Return (\_SB.TZ21.TPSV) } - Name(TTC1, 1) - Method(_TC1) { Return (\_SB.TZ21.TTC1) } - Name(TTC2, 2) - Method(_TC2) { Return (\_SB.TZ21.TTC2) } - Name(TTSP, 2) - Method(_TSP) { Return (\_SB.TZ21.TTSP) } - Name(_TZP, 0) - Method(_DEP) { - Return (Package() {\_SB.PEP0}) - } - } // end of TZ21 - - //--------------------------------------------------------------------- - // Thermal Zones for QDSP TSENS - //4/16/15: TODO waiting to get a new HID assigned for TSENS17 - //--------------------------------------------------------------------- - //Thermall zone for TSENS14 dial back MSM at 95C - //ThermalZone (TZ31) { - //Name (_HID, "QCOM02AE") - //Name (_UID, 0) - //Name(_TZD, Package (){ - //\_SB.SYSM.CLUS.CPU0, \_SB.SYSM.CLUS.CPU1, \_SB.SYSM.CLUS.CPU2, \_SB.SYSM.CLUS.CPU3, - //\_SB.PEP0, \_SB.GPU0.MON0, \_SB.GPU0}) - //Method(_PSV) { Return (3680) } - //Name(_TC1, 1) - //Name(_TC2, 2) - //Name(_TSP, 10) - //Name(_TZP, 0) - //Method(_DEP) { - // Return (Package() {\_SB.PEP0}) - //} - //} // end of TZ31 - - //--------------------------------------------------------------------- - // Thermal Zones for Camera TSENS - //--------------------------------------------------------------------- - //Thermal zone for TSENS17 to dial back MSM at 95C - // ThermalZone (TZ32) { - // Name (_HID, "QCOM02C9") - // Name (_UID, 0) - // Name(_TZD, Package (){\_SB.GPU0.AVS0}) - // Name(TPSV, 3680) - // Method(_PSV) { Return (\_SB.TZ32.TPSV) } - // Name(TTC1, 1) - // Method(_TC1) { Return (\_SB.TZ32.TTC1) } - // // For non-cpu devices, tc2 should be atleast 5, please refer to the - // // explanation at the top of the file or msdn link for thermal guide. - // Name(TTC2, 2) - // Method(_TC2) { Return (\_SB.TZ32.TTC2) } - // // For non-cpu devices, _tsp should be 20 or 30 - // Name(TTSP, 10) - // Method(_TSP) { Return (\_SB.TZ32.TTSP) } - // Name(_TZP, 0) - // Method(_DEP) { - // Return (Package() {\_SB.PEP0}) - // } - // } // end of TZ32 - - ThermalZone (TZ33) { - Name (_HID, "QCOM02CB") - Name (_UID, 1) - Name(_TZD, Package (){\_SB.AMSS}) - - Name(TPSV, 3680) - Method(_PSV) { Return (\_SB.TZ33.TPSV) } - - Name(TTC1, 1) - Method(_TC1) { Return (\_SB.TZ33.TTC1) } - - Name(TTC2, 2) - Method(_TC2) { Return (\_SB.TZ33.TTC2) } - - Name(TTSP, 10) - Method(_TSP) { Return (\_SB.TZ33.TTSP) } - - Name(_TZP, 0) - Method(_DEP) { - Return (Package() {\_SB.PEP0}) - } - } - - //--------------------------------------------------------------------- - // Thermal Zones for MDSS TENS (Display Subsystem) - // Only the MDP Blt engine and Rotator engines on the MDSS are cooled - // using this interface. Display cooling is not supported currently. - //--------------------------------------------------------------------- - //Thermal zone for TSENS18 to dial back MSM at 95C - //ThermalZone (TZ34) { - //Name (_HID, "QCOM02CA") - //Name (_UID, 0) - //Name(_TZD, Package (){\_SB.GPU0.AVS0}) - //Method(_PSV) { Return (3680) } - //Name(_TC1, 1) - //Name(_TC2, 2) - //Name(_TSP, 10) - //Name(_TZP, 0) - //Method(_DEP) { - // Return (Package() {\_SB.PEP0}) - //} - //} // end of TZ34 - - //--------------------------------------------------------------------- - // Thermal Zones for ADC Channels - //--------------------------------------------------------------------- - //Thermal zone for PMIC_THERM - ThermalZone (TZ36) { - Name (_HID, "QCOM029E") - Name (_UID, 0) - Name(_TZD, Package (){ - \_SB.SYSM.CLUS.CPU0, \_SB.SYSM.CLUS.CPU1, \_SB.SYSM.CLUS.CPU2, \_SB.SYSM.CLUS.CPU3, - \_SB.SYSM.CLUS.CPU4, \_SB.SYSM.CLUS.CPU5, \_SB.SYSM.CLUS.CPU6, \_SB.SYSM.CLUS.CPU7, - \_SB.PMBM}) - - Name(TPSV, 3780) - Method(_PSV) { Return (\_SB.TZ36.TPSV) } - - Name(TTC1, 4) - Method(_TC1) { Return (\_SB.TZ36.TTC1) } - - Name(TTC2, 3) - Method(_TC2) { Return (\_SB.TZ36.TTC2) } - - Name(TTSP, 50) - Method(_TSP) { Return (\_SB.TZ36.TTSP) } - - Name(_TZP, 0) - Method(_DEP) { - Return (Package() {\_SB.PEP0, \_SB.ADC1}) - } - } // end of TZ36 - - //Thermal zone for PMIC_THERM - ThermalZone (TZ37) { - Name (_HID, "QCOM029E") - Name (_UID, 1) - Name(_TZD, Package (){ - \_SB.PEP0, \_SB.PMBM}) - Name(TPSV, 3980) - Method(_PSV) { Return (\_SB.TZ37.TPSV) } - Name(TCRT, 4180) - Method(_CRT) { Return (\_SB.TZ37.TCRT) } - Name(TTC1, 4) - Method(_TC1) { Return (\_SB.TZ37.TTC1) } - Name(TTC2, 3) - Method(_TC2) { Return (\_SB.TZ37.TTC2) } - Name(TTSP, 50) - Method(_TSP) { Return (\_SB.TZ37.TTSP) } - - Name(_TZP, 0) - Method(_DEP) { - Return (Package() {\_SB.PEP0, \_SB.ADC1}) - } - } // end of TZ37 - - //Example: Inverse Thermal zone for PMIC_THERM - ThermalZone (TZ38) { - Name (_HID, "QCOM029E") - Name (_UID, 2) //Update UID on addition of new thermal zone with same HID - Name(_TZD, Package (){ - \_SB.PEP0}) - Method(INVT) { Return (1) } - Method(_MTL) { Return (60) } - Name(TPSV, 2830) - Method(_PSV) { Return (\_SB.TZ38.TPSV) } - Name(TTC1, 4) - Method(_TC1) { Return (\_SB.TZ38.TTC1) } - Name(TTC2, 3) - Method(_TC2) { Return (\_SB.TZ38.TTC2) } - Name(TTSP, 10) - Method(_TSP) { Return (\_SB.TZ38.TTSP) } - Name(_TZP, 0) - Method(_DEP) { - Return (Package() {\_SB.PEP0, \_SB.ADC1}) - } - } // end of TZ38 - - //------------------------------------------------------------------------ - // Thermal Zones for Wlan - //------------------------------------------------------------------------ - //Thermal zone for iHelium, Wlan MAC&PHY on SOC - ThermalZone (TZ40) { - Name (_HID, "QCOM02AF") - Name (_UID, 0) - Name(_TZD, Package (){\_SB.COEX}) - - Name(TPSV, 3580) - Method(_PSV) { Return (\_SB.TZ40.TPSV) } - Name(TTC1, 1) - Method(_TC1) { Return (\_SB.TZ40.TTC1) } - Name(TTC2, 5) // For non-cpu devices, tc2 should be atleast 5 - Method(_TC2) { Return (\_SB.TZ40.TTC2) } - Name(TTSP, 30) // For non-cpu devices, _tsp should be 20 or 30 - Method(_TSP) { Return (\_SB.TZ40.TTSP) } - - Name(_TZP, 0) - Method(_DEP) { - Return (Package() {\_SB.PEP0}) - } - } // end of TZ40 - //Thermal zone for Cherokee, Wlan radio on WCN3990 - ThermalZone (TZ41) { - Name (_HID, "QCOM0295")//virtual sensor by wlan WMI thermal interface - Name (_UID, 1) - //Name(_TZD, Package (){\_SB.COEX}) // Temperature report only - //Method(_PSV) { Return (4030) } - //Name(_TC1, 4) - //Name(_TC2, 3) - Name(_TSP, 50) - Name(_TZP, 0) - } // end of TZ41 - - //------------------------------------------------------------------------ - // Thermal Zones for DDR/POP - //------------------------------------------------------------------------ - //Thermal zone for DDR - //Thermal zone for TSENS20 to dial back Big CPU's at 95C - - ThermalZone (TZ44) { - Name (_HID, "QCOM02CC") - Name (_UID, 0) - Name(_TZD, Package (){\_SB.SYSM.CLUS.CPU4, \_SB.SYSM.CLUS.CPU5, \_SB.SYSM.CLUS.CPU6, \_SB.SYSM.CLUS.CPU7}) - Name(TPSV, 3680) - Method(_PSV) { Return (\_SB.TZ44.TPSV) } - Name(TTC1, 0) - Method(_TC1) { Return (\_SB.TZ44.TTC1) } - Name(TTC2, 1) - Method(_TC2) { Return (\_SB.TZ44.TTC2) } - Name(TTSP, 1) - Method(_TSP) { Return (\_SB.TZ44.TTSP) } - Name(_TZP, 0) - Method(_DEP) { - Return (Package() {\_SB.PEP0}) - } - } // end of TZ44 - - //--------------------------------------------------------------------- - // - // QC Recommended thermal limits starts - // - //TZ80 - TZ98 represent the thermal zones corresponding to QC - //recommended thermal limits. These thermal zones must not be removed - //or tampered with. - //--------------------------------------------------------------------- - //Thermal zone for TSENS2 at 70C to match the LA thermal limits - //ThermalZone (TZ80) { - //Name (_HID, "QCOM2472") - //Name (_UID, 0) - //Name(_TZD, Package (){ - // \_SB.SYSM.CLUS.CPU0, \_SB.SYSM.CLUS.CPU1, \_SB.SYSM.CLUS.CPU2, \_SB.SYSM.CLUS.CPU3, - //Method(_PSV) { Return (3430) } - //Name(_TC1, 1) - //Name(_TC2, 2) - //Name(_TSP, 10) - //Name(_TZP, 0) - //Method(_DEP) { - // Return (Package() {\_SB.PEP0}) - //} - //} // end of TZ80 - - //Thermal zone near for TSENS2 to shutdown the system at 85C to match LA - //thermal limits - //ThermalZone (TZ81) { - //Name (_HID, "QCOM2472") - //Name (_UID, 1) - //Name(_TZD, Package (){ - // \_SB.SYSM.CLUS.CPU0, \_SB.SYSM.CLUS.CPU1, \_SB.SYSM.CLUS.CPU2, \_SB.SYSM.CLUS.CPU3, - // \_SB.PEP0}) - //Method(_PSV) { Return (3530) } - //Method(_CRT) { Return (3580) } - //Name(_TC1, 1) - //Name(_TC2, 2) - //Name(_TSP, 10) - //Name(_TZP, 0) - //Method(_DEP) { - // Return (Package() {\_SB.PEP0}) - //} - //} // end of TZ81 - - //Entry for BCL thermal zone - ThermalZone (TZ98) { - Name (_HID, "QCOM0294") - Name (_UID, 0) - Name(_TZD, Package (){ - \_SB.GPU0.MON0, \_SB.GPU0}) - - Name(TPSV, 3630) - Method(_PSV) { Return (\_SB.TZ98.TPSV) } - Name(TTC1, 1) - Method(_TC1) { Return (\_SB.TZ98.TTC1) } - //Method(_CRT) { Return (5630) } - Name(TTC2, 5) - Method(_TC2) { Return (\_SB.TZ98.TTC2) } - Name(TTSP, 20) - Method(_TSP) { Return (\_SB.TZ98.TTSP) } - - Name(_TZP, 0) - Method(_DEP) { - Return (Package(0x2) {\_SB.PEP0,\_SB_.BCL1}) - } - } // end of TZ98 - - //--------------------------------------------------------------------- - // Critical Thermal Zones for ALL TSENS - //This sensor aggregates all the on chip TSENS into a single sensor - //for ACPI thermal manager. By having a critical thermal zone on this - //"virtual sensor" we don't have to add a critical thermal zone on every - //sensor and hence reduce the number of thermal zones. - //--------------------------------------------------------------------- - //Critical Thermal zone on MSM virtual sensor to shutdown entire system - //at 110C. - ThermalZone (TZ99) { - Name (_HID, "QCOM02B2") - Name (_UID, 100) - - Name(TCRT, 3830) - Method(_CRT) { Return (\_SB.TZ99.TCRT) } - Name(TTC1, 4) - Method(_TC1) { Return (\_SB.TZ99.TTC1) } - Name(TTC2, 3) - Method(_TC2) { Return (\_SB.TZ99.TTC2) } - Name(TTSP, 10) - Method(_TSP) { Return (\_SB.TZ99.TTSP) } - Name(_TZP, 0) - - Method(_DEP) { - Return (Package() {\_SB.PEP0}) - } - } // end of TZ99 - - //--------------------------------------------------------------------- - // QC Recommended thermal limits ends - //--------------------------------------------------------------------- - - //--------------------------------------------------------------------- - // - // Sample Thermal Zones for OEMs TZ40 - TZ79 - // - //Sample TSENS thermal zone that can be added on any TSENS - //--------------------------------------------------------------------- - //ThermalZone (TZ40) { - //Name (_HID, "QCOM2470") - //Name (_UID, 0) - //Name(_TZD, Package (){ - //\_SB.SYSM.CLUS.CPU0, \_SB.SYSM.CLUS.CPU1, \_SB.SYSM.CLUS.CPU2, \_SB.SYSM.CLUS.CPU3,\_SB.SYSM.CLUS.CPU4, \_SB.SYSM.CLUS.CPU5, - //\_SB.PEP0, }) - //Method(_PSV) { Return (3730) } - //Method(_CRT) { Return (3780) } - //Name(_TC1, 1) - //Name(_TC2, 2) - //Name(_TSP, 10) //Sampling rate of 1sec - //Name(_TZP, 0) - //Method(_DEP) { - // Return (Package() {\_SB.PEP0}) - //} - //} // end of TZ40 - - //ThermalZone (TZ41) { - //Name (_HID, "QCOM2470") - //Name (_UID, 0) - //Name(_TZD, Package (){ - //\_SB.SYSM.CLUS.CPU0, \_SB.SYSM.CLUS.CPU1, \_SB.SYSM.CLUS.CPU2, \_SB.SYSM.CLUS.CPU3,\_SB.SYSM.CLUS.CPU4, \_SB.SYSM.CLUS.CPU5, - //\_SB.PEP0, }) - //Method(_PSV) { Return (3730) } - //Method(_CRT) { Return (3780) } - //Name(_TC1, 1) - //Name(_TC2, 2) - //Name(_TSP, 50) //Sampling rate of 5sec - //Name(_TZP, 0) - //Method(_DEP) { - // Return (Package() {\_SB.PEP0}) - //} - //} // end of TZ41 - - - //--------------------------------------------------------------------------// - // - // Sample VADC Thermal zones for OEMs - // - //Following are sample thermal zones that use the off chip ADC thermistors - //they are all currently using CPUs as a cooling device for a lack of better - //option. The OEMs should change this. - //--------------------------------------------------------------------------// - - //Thermal zone for SYS_THERM2 - // ThermalZone (TZ51) { - // Name (_HID, "QCOM248D") - // Name (_UID, 0) - // Name(_TZD, Package (){ - //\_SB.SYSM.CLUS.CPU0, \_SB.SYSM.CLUS.CPU1, \_SB.SYSM.CLUS.CPU2, \_SB.SYSM.CLUS.CPU3,\_SB.SYSM.CLUS.CPU4, \_SB.SYSM.CLUS.CPU5,}) - // Method(_PSV) { Return (3830) } - //Name(_TC1, 4) - //Name(_TC2, 3) - // Name(_TSP, 50) - //Name(_TZP, 0) - //Method(_DEP) { - // Return (Package() {\_SB.PEP0}) - //} - // } // end of TZ51 - - //Thermal zone for PA_THERM1 - // ThermalZone (TZ52) { - // Name (_HID, "QCOM248E") - // Name (_UID, 0) - // Name(_TZD, Package (){\_SB.SYSM.CLUS.CPU0, \_SB.SYSM.CLUS.CPU1, \_SB.SYSM.CLUS.CPU2, \_SB.SYSM.CLUS.CPU3}) - // Method(_PSV) { Return (3430) } - // Name(_TC1, 4) - // Name(_TC2, 3) - // Name(_TSP, 50) - // Name(_TZP, 0) - //Method(_DEP) { - // Return (Package() {\_SB.PEP0}) - //} - // } // end of TZ52 diff --git a/legacy/dipper/pmic_batt.asl b/legacy/dipper/pmic_batt.asl deleted file mode 100644 index 82c2a72..0000000 --- a/legacy/dipper/pmic_batt.asl +++ /dev/null @@ -1,526 +0,0 @@ -// -// This file contains the Power Management IC (PMIC) -// ACPI device definitions, configuration and look-up tables. -// - -Include("cust_pmic_batt.asl") - - // - // PMIC Battery Manger Driver - // - Device (PMBT) { - Name (_HID, "QCOM0264") - Name (_SUB, "RENEGA0E") - Name (_DEP, Package(0x2) { - \_SB_.PMIC, - \_SB_.ADC1, - //\_SB_.PEIC - }) - - Method (_STA) { - Return (0xB) // Device is installable, functional & should not be visible in OSPM/Device Manager - } - - Method (_CRS, 0x0, NotSerialized) { - Name (RBUF, ResourceTemplate () - { - //GpioInt(Edge, ActiveBoth, Shared, PullUp, 0, "\\_SB.PM01",,,,) {208} // 0x80 - PM_INT__SCHG_CHGR__CHGR_ERROR_RT_STS - Charger Error Interrupt - //GpioInt(Edge, ActiveHigh, Shared, PullUp, 0, "\\_SB.PM01",,,,) {336} // 0x210 - PM_INT__FG_BCL__IBT_HI - IBAT greater than threshold Interrupt. - //GpioInt(Edge, ActiveHigh, Shared, PullUp, 0, "\\_SB.PM01",,,,) {337} // 0x211 - PM_INT__FG_BCL__IBT_THI - VBatt less than threshold Interrupt - //GpioInt(Edge, ActiveHigh, Shared, PullUp, 0, "\\_SB.PM01",,,,) {352} // 0x220 - PM_INT__FG_MEM_IF__IMA_RDY - MEMIF access Interrupt - //GpioInt(Edge, ActiveHigh, Shared, PullUp, 0, "\\_SB.PM01",,,,) {215} // 0x87 - PM_INT__SCHG_CHGR__CHGR_7 - Termination Current Interrupt - //GpioInt(Edge, ActiveHigh, Shared, PullUp, 0, "\\_SB.PM01",,,,) {209} // 0x81 - PM_INT__SCHG_CHGR__CHARGING_STATE_CHANGE - Charger Inhibit Interrupt - GpioInt(Edge, ActiveHigh, Shared, PullUp, 0, "\\_SB.PM01",,,,) {329} // 0x209 - PM_INT__FG_BATT_INFO__VBT_LOW - VBAT_LOW Interrupt - //GpioInt(Edge, ActiveBoth, Shared, PullUp, 0, "\\_SB.PM01",,,,) {240} // 0xA0 - PM_INT__SCHG_DC__DCIN_COLLAPSE - Qi Wireless Charger Interrupt - GpioInt(Edge, ActiveHigh, Exclusive, PullUp, 0, "\\_SB.PM01",,,,) {331} // 0x20B - PM_INT__FG_BATT_INFO__BT_MISS - BATT_MISSING Interrupt - GpioInt(Edge, ActiveHigh, Shared, PullUp, 0, "\\_SB.PM01",,,,) {237} // 0x9D - PM_INT__SCHG_USB__USBIN_SOURCE_CHANGE - AICL_DONE IRQ (Rising Only) - //GpioInt(Edge, ActiveHigh, Shared, PullUp, 0, "\\_SB.PM01",,,,) {232} // 0x98 - PM_INT__SCHG_USB__USBIN_COLLAPSE - USB_UV IRQ (Rising Only) - //GpioInt(Edge, ActiveHigh, Exclusive, PullUp, 0, "\\_SB.PM01",,,,) {322} // 0x202 - PM_INT__FG_BATT_SOC__BSOC_DELTA - FULL_SOC Interrupt - //GpioInt(Edge, ActiveHigh, Exclusive, PullUp, 0, "\\_SB.PM01",,,,) {323} // 0x203 - PM_INT__FG_BATT_SOC__MSOC_DELTA - EMPTY_SOC Interrupt - // GpioInt(Edge, ActiveHigh, Exclusive, PullUp, 0, "\\_SB.PM01",,,,) {213} // 0x85 - PM_INT__SCHG_CHGR__FG_FVCAL_QUALIFIED - FVCAL_QUALIFIED IRQ - GpioInt(Edge, ActiveHigh, Shared, PullUp, 0, "\\_SB.PM01",,,,) {224} // 0x90 - PM_INT__SCHG_BATIF__BAT_TEMP - Jeita limit interrupt - - }) - Return (RBUF) - } - - //ACPI methods for Battery Manager Device - Method (BMNR) { - Name (CFG0, - Package(){ - 1, //* 0: Select Platform: 0- No HW, 1- SMChg+FGGge, 2- SMB3pChg+SMB3pGge, 3- LBChg+VMBMS - 0, //* 1: Error State Handling: 0- Don�t Shutdown, 1- Shutdown - 1, //* 2: Listen to BatteryClass: 0- No 1- Yes - 0, //* 3: Test Mode Power Flag: 0- Discharging, 1- PowerOnline+Charging - "CUST_PMIC" //* 4: cust_pmic config identifier - }) - Store(CUST, Index(CFG0, 4)) - Return (CFG0) - } - - //ACPI methods for Timer - Method (BTIM) { - Name (CFG0, - Package(){ - 30000, // Charging Heartbeat Timer - 10000, // Charging Tolerable Delay - 300000, // Discharging Heartbeat Timer - 120000, // Discharging Tolerable Delay - 0, // Poll Timer , 0=Timer not used. - 0, // Poll Tolerable Delay - 28080000, // Charging Timeout (TDone) Timer - 0, // Charging Timeout(TDone) Tolerable Delay - }) - Return (CFG0) - } - - - //ACPI methods for Battery Info - Method (BBAT) { - Name (CFG0, - Package(){ - 1, //* 0: Battery Technology - 0x4C494F4E, //* 1: Battery Chemistry: hex(LION) - 0xFFFFFFFF, //* 2: BFCC: (mWh), Design Capacity - 0xFFFFFFFF, //* 3: BFCC: (mWh), Full Charge Capacity - 0xFFFFFFFF, //* 4: PCT1: (% of FCC), Default Alert 1 - 0xFFFFFFFF, //* 5: PCT2: (% of FCC), Default Alert 2 - "QCOMBATT01", //* 6: Device Name - "Qualcomm", //* 7: Manufacture Name - "QCOMBAT01_07012011", //* 8: Battery Unique ID - "07012011", //* 9: Battery Serial Number - 19, //* 10: Battery Manufacture Date - 04, //* 11: Battery Manufacture Month - 2014 //* 12: Battery Manufacture Year - }) - //Local2 = Default Alert1 = PCT1 * BFCC / 100 - Multiply(PCT1,BFCC,Local0) - Divide(Local0, 100, Local1, Local2) - //Local3 = Default Alert2 = PCT2 * BFCC / 100 - Multiply(PCT2,BFCC,Local0) - Divide(Local0, 100, Local1, Local3) - Store(BFCC, Index(CFG0, 2)) - Store(BFCC, Index(CFG0, 3)) - Store(Local2, Index(CFG0, 4)) - Store(Local3, Index(CFG0, 5)) - Return (CFG0) - } - - //ACPI methods for Proprietary chargers - Method (BPCH) { - Name (CFG0, - Package(){ - 3000, // QC2.0 charger current = 3000mA - 3000, // QC3.0 charger current = 3000mA - 1500 // Invalid Wall charger current = 1500mA - }) - Return (CFG0) - } - - //ACPI methods for foldback chargers - Method (BFCH) { - Name (CFG0, - Package(){ - 1, // Feature enable/disable - 5, // No of consecutive times charger attach/detach - 5000, // msecs, Time elapsed between attach/detach - 900, // mA, Current setting for foldback charger - }) - Return (CFG0) - } - - //ACPI methods for coin cell charger - Method (BCCC) { - Name (CFG0, - Package(){ - 1, //Enable coin cell charger; 1 = enable, 0 = disable - 0, // RSET, 0=2K1, 1=1K7, 2=1K2, 3 = 0K8 - 0 // VSET, 0=2V5, 1=3V2, 2=3V1, 3=3V0 - }) - Return (CFG0) - } - - //ACPI methods for Recharge/Maintenance Mode - Method (BRCH) { - Name (CFG0, - Package(){ - 100, // Delta V Recharge threshold = 100mV - 0 // Delta V Recharge Reduction below Normal= 0mV - }) - Return (CFG0) - } - - //ACPI methods for Qi Charging - Method (_BQI) { - Name (CFG0, - Package(){ - 0, - }) - Return (CFG0) - } - - //ACPI methods for Interrupt Name - Method (BIRQ) { - Name (CFG0, - Package(){ - //"ChgError", // Charger Error - //"BclIrq1", // IBAT greater than threshold IRQ - //"BclIrq2", // VBAT less than threshold IRQ - //"MEMIFaccess", // MEMIF access granted IRQ - //"TccReached", // Termination Current IRQ - //"ChargerInhibit" // Charger Inhibit IRQ - "VbatLow", // VBAT LOW IRQ - //"QiWlcDet", // Qi charging - "BattMissing", // BATT_MISSING IRQ - "AiclDone", // AICL Done - //"UsbUv", // USB UV - //"SOCFull", // SOC Full IRQ - //"SOCEmpty", // SOC Empty IRQ - //"FvCal", // FVCAl IRQ - "JeitaLimit" // JEITA limit IRQ - }) - Return (CFG0) - } - //ACPI methods for Platform File - Method (BPLT) { - Name (CFG0, - Package(){ - 1024, //* 0: ACPI Version - 0xFFFFFFFF, //* 1: VNOM: (mV), Nominal Battery Voltage - 0xFFFFFFFF, //* 2: VLOW: (mV), Low Battery Voltage - 0xFFFFFFFF, //* 3: EMPT: (mV), VcutOff - 0xFFFFFFFF, //* 4: DCMA: (mA), DC Current - 1, //* 5: ChargePath Priority: Select 0 for DC, 1 for USB - 50, //* 6: RSLOW for maxFlashCurrentPrediction - 50, //* 7: RPARA for maxFlashCurrentPrediction - 5000, //* 8: VINFLASH for maxFlashCurrentPrediction - 8, //* 9: FlashParam for maxFlashCurrentPrediction - 1, //* 10: AFP Mode Supported - 80, //* 11: AFP Trigger Max Battery Temp (+80 deg C) - 0xFFFFFFEC, //* 12: AFP Trigger Min Battery Temp (-20 deg C) - 72, //* 13: Watchdog timer in secs - 100, //* 14: Charger iterm 100 mA for now - 30, //* 15: SRAM logging timer - 5, //* 16: VBATT average Window Size - 6, //* 17: Emergency Shutdown Initial SOC - 500, //* 18: SoC convergent point - 126, //* 19: LM_Threshold - 400, //* 20: MH_Threshold - 0xFFFFFFFF, //* 21: BOCP: (mA), OCP current used in BCL - 750, //* 22: soc (75%) below which no soc linearization even in CV charging - 1, //* 23: BMD - Battery Missing Detection Source when source is attached: BATT_ID (1=BATT_ID, 4=HW Misssing Algorithm) - 2, //* 24: ibat src sensing : 0 for batfet and 1 for external sensing - 50, //* 25: IFGD: (mA), FG Iterm delta; (iterm + this value) determines when FG report 100% - 10, //* 26: VFGD: (mV), CC to CV Vdelta; (Vfloat - this value) determine when FG report 100% - 1, //* 27: 0 - disable SOC linearization; 1 (nonzero): enable SOC linearization - 0xFFFFFFEC, //* 28: (Celcius), Temperature threshold do have different SOC slope limiter - 10, //* 29: (milli%) - SOC slope limiter when charging and at lower temperature than threshold - 10, //* 30: (milli%) - SOC slope limiter when charging and at higher temperature than threshold - 10, //* 31: (milli%) - SOC slope limiter when discharging and at lower temperature than threshold - 10, //* 32: (milli%) - SOC slope limiter when discharging and at higher temperature than threshold - 1, //* 33: 0 - disable FCC learning; 1 (nonzero): enable FCC leearning - 150, //* 34: maximum starting SOC (in tenth percent)at which FCC learning would be turned on during charging - 100, //* 35: maximum allowable decrement (in tenth percent) of battery capacity in FCC learning - 5, //* 36: maximum allowable increment (in tenth percent) of battery capacity in FCC learning - 10, //* 37: battery temperature in degree C below which switch to low temp ESR update steps - 0x02, //* 38: ESR update step tight, (2 * 0.001953 = 0.0039 = 0.4% max change each update) - 0x33, //* 39: ESR update step broad, (51* 0.001953 = 0.099603 = 10% max change each update) - 0x02, //* 40: ESR update step tight at low temp (below 10 degree, 0.4% max change each update) - 0x0A, //* 41: ESR update step broad at low temp (below 10 degree, 2% max change each update) - 0, //* 42: mOhm, RConn - 0, //* 43: Type C Thermal Mitigation Enable - 70, //* 44: Temperature to arm mitigation (degree C) - 50, //* 45: ICL adjustment (percent) - 60 //* 46: Temperature to disarm mitigation (degree C) - }) - Store(VNOM, Index(CFG0, 1)) - Store(VLOW, Index(CFG0, 2)) - Store(EMPT, Index(CFG0, 3)) - Store(DCMA, Index(CFG0, 4)) - Store(BOCP, Index(CFG0, 21)) - Store(IFGD, Index(CFG0, 25)) - Store(VFGD, Index(CFG0, 26)) - Return (CFG0) - } - - //ACPI methods for Platform File - Method (BPTM) { - Name (CFG0, - Package(){ - 15000, // Emergency Timer - 0, // Emergency Tolerable Delay - }) - Return (CFG0) - } - - //***************************************************** - // Battery Charge Table 1 (BCT1) - // Notes: used in Method(BJTA) & Method (BAT1) - //***************************************************** - Name (BCT1, Package(){ - 4350, //* 0: VDD1: (mV), Float Voltage (FV) - 2100, //* 1: FCC1: (mA), Full Charge Current (FCC) - 0, //* 2: HCLI: (C) hard cold limit - at which temperature charging will be disabled - 10, //* 3: SCLI: (C) soft cold limit - at which temperature charge current/float voltage will be reduced to JEITA compensated value - 45, //* 4: SHLI: (C) soft hot limit - at which temperature float voltage/charge current will be reduced to JEITA compensated value - 55, //* 5: HHLI: (C) hard hot limit - at which temperature charging will be disabled - 105, //* 6: FVC1: (mV) Float Voltage compensation (amount of FV reduction) when in battery hot-soft limit - 0, //* 7: (mV) Float Voltage compensation (amount of FV reduction) when in battery cold-soft limit - //* notes: put 0 value to disable - //* These values (10 vs 11) should be the same when HW JEITA is enabled - 0, //* 8: (mA) Charge Current compensation (amount of CC reduction) when in battery hot-soft limit - 1000, //* 9: CCC1: (mA) Charge Current compensation (amount of CC reduction) when in battery cold-soft limit - //* notes: put 0 value to disable - //* These values (12 vs 13) should be the same when HW JEITA is enabled - }) - - //ACPI methods for JEITA - Method (BJTA) { - Name (CFG0, - Package(){ - 2, //* 0: Select JEITA Configuration: 0- No JEITA, 1- SW JEITA, 2- HW JEITA - 2, //* 1: Temperature Hysteresis (in deg C) - Package(0xa){0,0,0,0,0,0,0,0,0,0} - //* 2: Structure for default charge table - }) - Store(VDD1, Index(\_SB_.PMBT.BCT1, 0)) - Store(FCC1, Index(\_SB_.PMBT.BCT1, 1)) - Store(HCLI, Index(\_SB_.PMBT.BCT1, 2)) - Store(SCLI, Index(\_SB_.PMBT.BCT1, 3)) - Store(SHLI, Index(\_SB_.PMBT.BCT1, 4)) - Store(HHLI, Index(\_SB_.PMBT.BCT1, 5)) - Store(FVC1, Index(\_SB_.PMBT.BCT1, 6)) - Store(CCC1, Index(\_SB_.PMBT.BCT1, 9)) - - //Use BCT1 as the Default Charge Table - Store(\_SB_.PMBT.BCT1, Index(CFG0, 2)) - Return (CFG0) - } - - //ACPI methods for Battery-1 (Ascent 860-82209-0000 3450mAh) - Method (BAT1) - { - Name (CFG0, - Package(){ - 0, //* 0: Battery Category: 0-NORMAL, 1-SMART - 0xFFFFFFEC, //* 1: min operating battery temp (-20 deg C) - 65, //* 2: max operating battery temp (+65 deg C) - Package(4){0,0,0,0}, //* 3: 128-bit battery info for future expansion - Package(0xa){0,0,0,0,0,0,0,0,0,0} - //* 4: Structure for charge table - }) - - //assign Charge Table to BCT1 - //Notes: 1) If the default charge table and desire charge table are different, - // Create another table (ex: BCT2) with the same structure as BCT1 and modify BCT1 below with the new table name - // 2) Method(BJTA) is parsed before this(BAT1) method in Battmngr module - // Method(BJTA) may be updating BCT1 parameters using configuration from cust_pmic_batt.asl (refer to BJTA method details) - // If BAT1 desires different value to be used (than what used in BJTA), pls change/update relevant parameter(s) here. - Store(\_SB_.PMBT.BCT1, Index(CFG0, 4)) - - Return (CFG0) - } - - //ACPI methods for Battery Error Handling - Method (BEHC) - { - //Actions for Battery Error Handling - // 0x0 - Do Nothing - // 0x1 - Reload Charge Table - // 0x2 - Error Shutdown - // 0x4 - Emergency Shutdown - // 0x8 - Enter Test Mode - Name (CFG0, - Package(){ - 1, // 1-Feature Enable, 0-Feature Disable - 0x8, //Action(s) for DEBUG state -> Enter Test Mode - 0x1, //Action(s) for NORMAL state -> Reload Charge Table - 0x0, //Action(s) for SMART_AUTHENTICATED state -> Do nothing - 0x0, //Action(s) for UNKNOWN state -> Do nothing - 0x2, //Action(s) for NOT_PRESENT state -> Error Shutdown - 0x2, //Action(s) for INVALID state -> Error Shutdown - 0x4 //Action(s) for OUT_OP_RANGE state -> AFP for out of operational range - }) - Return (CFG0) - } - - //ACPI methods for Charge Table Management Configuration - Method (CTMC) - { - Name (CFG0, - Package(){ - 2000, //* 0: min RID for DEBUG category: 2K - 14000, //* 1: max RID for DEBUG category: 14K - 0xFFFFFFFF, //* 2: RID2: min RID for NORMAL category: 15K - 0xFFFFFFFF, //* 3: RID3: max RID for NORMAL category: 140K - 240000, //* 4: min RID for SMART category: 240K - 450000, //* 5: max RID for SMART category: 450K - 1, //* 6: Number of charging table - }) - Store(RID2, Index(CFG0, 2)) - Store(RID3, Index(CFG0, 3)) - Return (CFG0) - } - - //ACPI methods for Parallel Charging - Method (BMPC) { - Name (CFG0, - Package(){ - 0, //* 0: Feaature Enable. 1: Enabled, 0: Disable - 1, //* 1: Input Power Disctribution (HW) configuration: 0: MID-MID, 1: USBIN-USBIN - 7000, //* 2: (mW) Input Power Threshold to decide if parallel charging to be enabled or not - //* Note: Not applicable for MID-MID configuration - 1000, //* 3: (mA) Charge Current Threshold to decide if parallel charging to be enabled or not - 50, //* 4: (%) Slave Charger Initial Power Distribution - 60, //* 5: (mV) Slave Charger Float Voltage Headroom - 500, //* 6: (mA) Slave Charger Charge Current Done Threshold - 90, //* 7: Slave Charger Minimum Efficiency - 0, //* 8: Slave Charger HW ID. 0: SMB1380/1 - 70, //* 9: (%)Slave Charger Max Power Distribution: 70% - 0, //* 10: (%)Slave Charger Min Power Distribution: 0% - Package(0x4)//* 11: Thermal Balancing Configuration - { - 5, //11.1: (C)Temperature Difference to trigger thermal balancing. 0 to disable the feature - 5, //11.2: (%)Step to redistrubute the power - 120, //11.3: (Sec)Minimum Wait Time for each redistribution attempt - 5, //11.4: (C)Temperature Margin for Master Charger - } - }) - Return (CFG0) - } - } - - // - // PMIC Battery Miniclass Driver - // - Device (PMBM) { - Name (_HID, "QCOM0263") - Name (_SUB, "RENEGA0E") - Name (_DEP, Package(0x1) - { - \_SB_.PMBT - }) - - Method (_CRS, 0x0, NotSerialized) { - Name (RBUF, ResourceTemplate () { - }) - Return (RBUF) - } - - Method (_STA) { - Return (0xB) // Device is installable, functional & should not be visible in OSPM/Device Manager - } - } - -// -//FGBCL Driver -// -Device (BCL1) { - Name (_HID, "QCOM02D6") - Name (_SUB, "RENEGA0E") - Name (_DEP, Package(0x1) - { - \_SB_.PMIC - }) - - Method (_STA) { - Return (0xB) // Device is installable, functional & should not be visible in OSPM/Device Manager - } - - Method (_CRS, 0x0, NotSerialized) { - Name (RBUF, ResourceTemplate () { - GpioInt(Edge, ActiveBoth, Shared, PullUp, 0, "\\_SB.PM01",,,,RawDataBuffer(){0x08}) {64} // 0x1E8 - PM_INT__BCL_COMP__VCOMP_LOW0 - VCOMP_LOW0 IRQ - GpioInt(Edge, ActiveHigh, Shared, PullUp, 0, "\\_SB.PM01",,,,) {65} // 0x1E9 - PM_INT__BCL_COMP__VCOMP_LOW1 - VCOMP_LOW1 IRQ - GpioInt(Edge, ActiveHigh, Shared, PullUp, 0, "\\_SB.PM01",,,,) {66} // 0x1EA - PM_INT__BCL_COMP__VCOMP_LOW2 - VCOMP_LOW2 IRQ - GpioInt(Edge, ActiveHigh, Shared, PullUp, 0, "\\_SB.PM01",,,,) {67} // 0x1EB - PM_INT__BCL_COMP__VCOMP_HI - VCOMP_HI IRQ - //GpioInt(Edge, ActiveHigh, Shared, PullUp, 0, "\\_SB.PM01",,,,) {68} // 0x1EC - PM_INT__BCL_COMP__SYS_OK - SYS_OK IRQ - //GpioInt(Edge, ActiveHigh, Shared, PullUp, 0, "\\_SB.PM01",,,,) {72} // 0x1F0 - PM_INT__BCL_PLM__VCOMP_LVL0_PLM - LVL0_PLM IRQ - //GpioInt(Edge, ActiveHigh, Shared, PullUp, 0, "\\_SB.PM01",,,,) {74} // 0x1F2 -PM_INT__BCL_PLM__VCOMP_LVL2_PLM - LVL2_PLM IRQ - GpioInt(Edge, ActiveHigh, Shared, PullUp, 0, "\\_SB.PM01",,,,) {75} // 0x1F3 - PM_INT__BCL_PLM__VCOMP_BA - BAN alarm IRQ - GpioInt(Edge, ActiveHigh, Shared, PullUp, 0, "\\_SB.PM01",,,,) {336} // 0x210 - PM_INT__FG_BCL__IBT_HI - ibatt high IRQ - GpioInt(Edge, ActiveHigh, Shared, PullUp, 0, "\\_SB.PM01",,,,) {337} // 0x211 - PM_INT__FG_BCL__IBT_THI - ibatt too high IRQ - GpioInt(Edge, ActiveHigh, Shared, PullUp, 0, "\\_SB.PM01",,,,) {339} // 0x213 - PM_INT__FG_BCL__VBT_LO_CMP - vbatt low irq - GpioInt(Edge, ActiveHigh, Shared, PullUp, 0, "\\_SB.PM01",,,,) {340} // 0x214 - PM_INT__FG_BCL__VBT_TLO_CMP - vbatt too low irq - GpioInt(Edge, ActiveHigh, Shared, PullUp, 0, "\\_SB.PM01",,,,) {324} // 0x204 - PM_INT__FG_BATT_SOC__MSOC_LOW - MSOC_Low Interrupt - GpioInt(Edge, ActiveHigh, Shared, PullUp, 0, "\\_SB.PM01",,,,) {326} // 0x206 - PM_INT__FG_BATT_SOC__MSOC_HIGH - MSOC_HI Interrupt - GpioInt(Edge, ActiveBoth, Shared, PullUp, 0, "\\_SB.PM01",,,,RawDataBuffer(){0x08}) {344} // 0x218 - PM_INT__FG_LMH__LMH_LVL0 - LMH_LVL0 IRQ - GpioInt(Edge, ActiveBoth, Shared, PullUp, 0, "\\_SB.PM01",,,,RawDataBuffer(){0x08}) {345} // 0x219 - PM_INT__FG_LMH__LMH_LVL1 - LMH_LVL1 IRQ - GpioInt(Edge, ActiveBoth, Shared, PullUp, 0, "\\_SB.PM01",,,,RawDataBuffer(){0x08}) {346} // 0x21A - PM_INT__FG_LMH__LMH_LVL2 - LMH_LVL2 IRQ - - }) - Return (RBUF) - } - //ACPI methods for FGBCL device - Method (BCLS) { - Name (CFG0, - Package(){ - 3, //* FGBCL ACPI revision - 7, //* 0: BCL disabled, 1: vph_pwr bcl enabled, 2: fg vbatt enabled, 4: fg ibatt enabled - 5000, //* battery ocp current - 80, //* ibatt high threshold is set to 80 for 80% of OCP - 90, //* ibatt too high is set to 90 for 90% of OCP - 2800, //* vbatt low is set to 2800 mV - 2600, //* vbatt too low is set to 2600 mV - 3200, //* vcomp_low0 threshold is 3200 mv - 2750, //* vcomp_low1 threshold is 2750 mv - 2500, //* vcomp_low2 threshold is 2500 mV - 10, //* poll timer for battery soc polling. - 1, //* 1- enable battery percent notification. 0-disable battery percent notification - 2000, //* debug board Min battery ID in Ohm - 14000 //* debug board Max battery ID in Ohm - }) - Return (CFG0) - } - //ACPI methods for Interrupt Name - Method (BCLQ) { - Name (CFG0, - Package(){ - "VCOMP_LOW0", // vcomp_low0 IRQ - "VCOMP_LOW1", // vcomp_low1 IRQ - "VCOMP_LOW2", // vcomp_low2 IRQ - "VCOMP_HI", // vcomp_hi IRQ - //"SYS_OK", // sys_ok irq - //"LVL0_PLM", // LVL0_PLM IRQ - //"LVL1_PLM" // LVL1_PLM IRQ - //"LVL2_PLM", // LVL2_PLM IRQ - "BAN_ALARM", // BAN_ALARM IRQ - "IBATT_HI", // IBATT HIGH IRQ - "IBATT_THI", // IBATT TOO HIGH IRQ - "VBATT_LOW", // VBATT_LOW IRQ - "VBATT_TLOW", // VBATT TOO LOW IRQ - "MSOC_LOW", // monotonic soc low IRQ - "MSOC_HI", // monotonic soc high IRQ - "LMH_LVL0", // LMH_LVL0 IRQ - "LMH_LVL1", // LMH_LVL1 IRQ - "LMH_LVL2", // LMH_LVL2 IRQ - }) - Return (CFG0) - } -} - -// -//PMIC Type-C Controler Driver (PMICTCC) Driver -// -Device(PTCC) -{ - Name (_HID, "QCOM02E6") - Name (_SUB, "RENEGA0E") - Name (_DEP, Package(0x1) {\_SB_.PMIC}) - Method (_CRS, 0x0, NotSerialized) { - Name (RBUF, ResourceTemplate () { - GpioInt(Edge, ActiveHigh, SharedAndWake, PullNone, 0, "\\_SB.PM01",,,,) {239} // 0x9F - PM_INT__SCHG_USB__TYPE_C_OR_RID_DETECTION_CHANGE - CC State Changed IRQ - GpioInt(Edge, ActiveHigh, SharedAndWake, PullNone, 0, "\\_SB.PM01",,,,) {270} // 0xBE - PM_INT__USB_PD__MESSAGE_RX_DISCARDED - Message RX Discarded IRQ - GpioInt(Edge, ActiveHigh, SharedAndWake, PullNone, 0, "\\_SB.PM01",,,,) {269} // 0xBD - PM_INT__USB_PD__MESSAGE_TX_DISCARDED - Message TX Discarded IRQ - GpioInt(Edge, ActiveHigh, SharedAndWake, PullNone, 0, "\\_SB.PM01",,,,) {268} // 0xBC - PM_INT__USB_PD__MESSAGE_TX_FAILED - Message TX Failed IRQ - GpioInt(Edge, ActiveHigh, SharedAndWake, PullNone, 0, "\\_SB.PM01",,,,) {267} // 0xBB - PM_INT__USB_PD__MESSAGE_RECEIVED - Message Received IRQ - GpioInt(Edge, ActiveHigh, SharedAndWake, PullNone, 0, "\\_SB.PM01",,,,) {266} // 0xBA - PM_INT__USB_PD__MESSAGE_SENT - Message Sent IRQ - GpioInt(Edge, ActiveHigh, SharedAndWake, PullNone, 0, "\\_SB.PM01",,,,) {265} // 0xB9 - PM_INT__USB_PD__SIGNAL_RECEIVED - Singal Received IRQ - GpioInt(Edge, ActiveHigh, SharedAndWake, PullNone, 0, "\\_SB.PM01",,,,) {264} // 0xB8 - PM_INT__USB_PD__SIGNAL_SENT - Signal Sent IRQ - GpioInt(Edge, ActiveHigh, Exclusive, PullUp, 0, "\\_SB.PM01",,,,) {217} // 0x89 - PM_INT__SCHG_OTG__OTG_OVERCURRENT - OTG_OC_IRQ - GpioInt(Edge, ActiveBoth, Exclusive, PullUp, 0, "\\_SB.PM01",,,,) {263} // 0xB7 - PM_INT__SCHG_MISC__SWITCHER_POWER_OK - SWITCHER_POWER_OK (CHG_MISC) - GpioInt(Edge, ActiveHigh, Exclusive, PullUp, 0, "\\_SB.PM01",,,,) {235} // 0x9B - PM_INT__SCHG_USB__USBIN_OV - USBIN_OV (CHG_USB) - // GpioIo (Exclusive, PullUp, 0, 0, , "\\_SB.PM01", , , , ) {493} // 0x668 - PM_INT__PM2_GPIO14__GPIO_IN_STS - GPIO14B � For Type-C Debug Accessory Mode - }) - Return (RBUF) - } -} diff --git a/legacy/dipper/thz.asl b/legacy/dipper/thz.asl deleted file mode 100644 index a3a3a39..0000000 --- a/legacy/dipper/thz.asl +++ /dev/null @@ -1,557 +0,0 @@ -// -// The Driver for Dynamically Changing Thresholds -// of Thermal Zones -// - -Method(THTZ, 0x4, NotSerialized) -{ - - // Switch based on thermal zone number - Switch(toInteger(Arg0)) - { - Case(1) - { - Switch(toInteger(Arg3)) - { - Case(0) { - If(Arg2) - { - Store(Arg1, \_SB.TZ1.TPSV) - Notify(\_SB.TZ1, 0x81) - } - Return(\_SB.TZ1._PSV) - } - - Case(2) { - If(Arg2) - { - Store(Arg1, \_SB.TZ1.TTSP) - Notify(\_SB.TZ1, 0x81) - } - Return(\_SB.TZ1._TSP) - } - - Case(3) { - If(Arg2) - { - Store(Arg1, \_SB.TZ1.TTC1) - Notify(\_SB.TZ1, 0x81) - } - Return(\_SB.TZ1._TC1) - } - - Case(4) { - If(Arg2) - { - Store(Arg1, \_SB.TZ1.TTC2) - Notify(\_SB.TZ1, 0x81) - } - Return(\_SB.TZ1._TC2) - } - - Default - { - Return(0xFFFF) - } - } - } - - Case(3) - { - Switch(toInteger(Arg3)) - { - Case(0) { - If(Arg2) - { - Store(Arg1, \_SB.TZ3.TPSV) - Notify(\_SB.TZ3, 0x81) - } - Return(\_SB.TZ3._PSV) - } - - Case(2) { - If(Arg2) - { - Store(Arg1, \_SB.TZ3.TTSP) - Notify(\_SB.TZ3, 0x81) - } - Return(\_SB.TZ3._TSP) - } - - Case(3) { - If(Arg2) - { - Store(Arg1, \_SB.TZ3.TTC1) - Notify(\_SB.TZ3, 0x81) - } - Return(\_SB.TZ3._TC1) - } - - Case(4) { - If(Arg2) - { - Store(Arg1, \_SB.TZ3.TTC2) - Notify(\_SB.TZ3, 0x81) - } - Return(\_SB.TZ3._TC2) - } - - Default - { - Return(0xFFFF) - } - } - } - - Case(20) - { - Switch(toInteger(Arg3)) - { - Case(0) { - If(Arg2) - { - Store(Arg1, \_SB.TZ20.TPSV) - Notify(\_SB.TZ20, 0x81) - } - Return(\_SB.TZ20._PSV) - } - - Case(2) { - If(Arg2) - { - Store(Arg1, \_SB.TZ20.TTSP) - Notify(\_SB.TZ20, 0x81) - } - Return(\_SB.TZ20._TSP) - } - - Case(3) { - If(Arg2) - { - Store(Arg1, \_SB.TZ20.TTC1) - Notify(\_SB.TZ20, 0x81) - } - Return(\_SB.TZ20._TC1) - } - - Case(4) { - If(Arg2) - { - Store(Arg1, \_SB.TZ20.TTC2) - Notify(\_SB.TZ20, 0x81) - } - Return(\_SB.TZ20._TC2) - } - - Default - { - Return(0xFFFF) - } - } - } - - Case(21) - { - Switch(toInteger(Arg3)) - { - Case(0) { - If(Arg2) - { - Store(Arg1, \_SB.TZ21.TPSV) - Notify(\_SB.TZ21, 0x81) - } - Return(\_SB.TZ21._PSV) - } - - Case(2) { - If(Arg2) - { - Store(Arg1, \_SB.TZ21.TTSP) - Notify(\_SB.TZ21, 0x81) - } - Return(\_SB.TZ21._TSP) - } - - Case(3) { - If(Arg2) - { - Store(Arg1, \_SB.TZ21.TTC1) - Notify(\_SB.TZ21, 0x81) - } - Return(\_SB.TZ21._TC1) - } - - Case(4) { - If(Arg2) - { - Store(Arg1, \_SB.TZ21.TTC2) - Notify(\_SB.TZ21, 0x81) - } - Return(\_SB.TZ21._TC2) - } - - Default - { - Return(0xFFFF) - } - } - } - - Case(33) { - Switch(toInteger(Arg3)) - { - Case(0) { - If(Arg2) - { - Store(Arg1, \_SB.TZ33.TPSV) - Notify(\_SB.TZ33, 0x81) - } - Return(\_SB.TZ33._PSV) - } - - Case(2) { - If(Arg2) - { - Store(Arg1, \_SB.TZ33.TTSP) - Notify(\_SB.TZ33, 0x81) - } - Return(\_SB.TZ33._TSP) - } - - Case(3) { - If(Arg2) - { - Store(Arg1, \_SB.TZ33.TTC1) - Notify(\_SB.TZ33, 0x81) - } - Return(\_SB.TZ33._TC1) - } - - Case(4) { - If(Arg2) - { - Store(Arg1, \_SB.TZ33.TTC2) - Notify(\_SB.TZ33, 0x81) - } - Return(\_SB.TZ33._TC2) - } - - Default - { - Return(0xFFFF) - } - } - } - - Case(36) { - Switch(toInteger(Arg3)) - { - Case(0) { - If(Arg2) - { - Store(Arg1, \_SB.TZ36.TPSV) - Notify(\_SB.TZ36, 0x81) - } - Return(\_SB.TZ36._PSV) - } - - Case(2) { - If(Arg2) - { - Store(Arg1, \_SB.TZ36.TTSP) - Notify(\_SB.TZ36, 0x81) - } - Return(\_SB.TZ36._TSP) - } - - Case(3) { - If(Arg2) - { - Store(Arg1, \_SB.TZ36.TTC1) - Notify(\_SB.TZ36, 0x81) - } - Return(\_SB.TZ36._TC1) - } - - Case(4) { - If(Arg2) - { - Store(Arg1, \_SB.TZ36.TTC2) - Notify(\_SB.TZ36, 0x81) - } - Return(\_SB.TZ36._TC2) - } - - Default - { - Return(0xFFFF) - } - } - } - - Case(37) { - Switch(toInteger(Arg3)) - { - Case(0) { - If(Arg2) - { - Store(Arg1, \_SB.TZ37.TPSV) - Notify(\_SB.TZ37, 0x81) - } - Return(\_SB.TZ37._PSV) - } - - Case(1) - { - If(Arg2) - { - Store(Arg1, \_SB.TZ37.TCRT) - Notify(\_SB.TZ37, 0x81) - } - Return(\_SB.TZ37._CRT) - } - - Case(2) { - If(Arg2) - { - Store(Arg1, \_SB.TZ37.TTSP) - Notify(\_SB.TZ37, 0x81) - } - Return(\_SB.TZ37._TSP) - } - - Case(3) { - If(Arg2) - { - Store(Arg1, \_SB.TZ37.TTC1) - Notify(\_SB.TZ37, 0x81) - } - Return(\_SB.TZ37._TC1) - } - - Case(4) { - If(Arg2) - { - Store(Arg1, \_SB.TZ37.TTC2) - Notify(\_SB.TZ37, 0x81) - } - Return(\_SB.TZ37._TC2) - } - - Default - { - Return(0xFFFF) - } - } - } - - Case(38) { - Switch(toInteger(Arg3)) - { - Case(0) { - If(Arg2) - { - Store(Arg1, \_SB.TZ38.TPSV) - Notify(\_SB.TZ38, 0x81) - } - Return(\_SB.TZ38._PSV) - } - - Default - { - Return(0xFFFF) - } - } - } - - Case(40) { - Switch(toInteger(Arg3)) - { - Case(0) { - If(Arg2) - { - Store(Arg1, \_SB.TZ40.TPSV) - Notify(\_SB.TZ40, 0x81) - } - Return(\_SB.TZ40._PSV) - } - - Case(2) { - If(Arg2) - { - Store(Arg1, \_SB.TZ40.TTSP) - Notify(\_SB.TZ40, 0x81) - } - Return(\_SB.TZ40._TSP) - } - - Case(3) { - If(Arg2) - { - Store(Arg1, \_SB.TZ40.TTC1) - Notify(\_SB.TZ40, 0x81) - } - Return(\_SB.TZ40._TC1) - } - - Case(4) { - If(Arg2) - { - Store(Arg1, \_SB.TZ40.TTC2) - Notify(\_SB.TZ40, 0x81) - } - Return(\_SB.TZ40._TC2) - } - - Default - { - Return(0xFFFF) - } - } - } - - Case(44) { - Switch(toInteger(Arg3)) - { - Case(0) { - If(Arg2) - { - Store(Arg1, \_SB.TZ44.TPSV) - Notify(\_SB.TZ44, 0x81) - } - Return(\_SB.TZ44._PSV) - } - - Case(2) { - If(Arg2) - { - Store(Arg1, \_SB.TZ44.TTSP) - Notify(\_SB.TZ44, 0x81) - } - Return(\_SB.TZ44._TSP) - } - - Case(3) { - If(Arg2) - { - Store(Arg1, \_SB.TZ44.TTC1) - Notify(\_SB.TZ44, 0x81) - } - Return(\_SB.TZ44._TC1) - } - - Case(4) { - If(Arg2) - { - Store(Arg1, \_SB.TZ44.TTC2) - Notify(\_SB.TZ44, 0x81) - } - Return(\_SB.TZ44._TC2) - } - - Default - { - Return(0xFFFF) - } - } - } - - Case(98) - { - Switch(toInteger(Arg3)) - { - Case(0) { - If(Arg2) - { - Store(Arg1, \_SB.TZ98.TPSV) - Notify(\_SB.TZ98, 0x81) - } - Return(\_SB.TZ98._PSV) - } - - Case(2) { - If(Arg2) - { - Store(Arg1, \_SB.TZ98.TTSP) - Notify(\_SB.TZ98, 0x81) - } - Return(\_SB.TZ98._TSP) - } - - Case(3) { - If(Arg2) - { - Store(Arg1, \_SB.TZ98.TTC1) - Notify(\_SB.TZ98, 0x81) - } - Return(\_SB.TZ98._TC1) - } - - Case(4) { - If(Arg2) - { - Store(Arg1, \_SB.TZ98.TTC2) - Notify(\_SB.TZ98, 0x81) - } - Return(\_SB.TZ98._TC2) - } - - Default - { - Return(0xFFFF) - } - } - } - - Case(99) - { - Switch(toInteger(Arg3)) - { - Case(1) { - If(Arg2) - { - Store(Arg1, \_SB.TZ99.TCRT) - Notify(\_SB.TZ99, 0x81) - } - Return(\_SB.TZ99._CRT) - } - - Case(2) { - If(Arg2) - { - Store(Arg1, \_SB.TZ99.TTSP) - Notify(\_SB.TZ99, 0x81) - } - Return(\_SB.TZ99._TSP) - } - - Case(3) { - If(Arg2) - { - Store(Arg1, \_SB.TZ99.TTC1) - Notify(\_SB.TZ99, 0x81) - } - Return(\_SB.TZ99._TC1) - } - - Case(4) { - If(Arg2) - { - Store(Arg1, \_SB.TZ99.TTC2) - Notify(\_SB.TZ99, 0x81) - } - Return(\_SB.TZ99._TC2) - } - - Default - { - Return(0xFFFF) - } - } - } - - Default { - Return(0xFFFF) - } - } -} diff --git a/legacy/dipper/wcnss_bt.asl b/legacy/dipper/wcnss_bt.asl deleted file mode 100644 index eb5021c..0000000 --- a/legacy/dipper/wcnss_bt.asl +++ /dev/null @@ -1,49 +0,0 @@ -// -// WCN3990 Bluetooth -// -Device(BTH0) -{ - Name(_HID, "QCOM02B5") - Alias(\_SB.PSUB, _SUB) - Name(_DEP, Package(0x3) - { - \_SB_.PEP0, - \_SB_.PMIC, - \_SB_.UAR7 // depends on UART ACPI definition - }) - Name(_PRW, Package(0x2) - { - Zero, - Zero - }) - Name(_S4W, 0x2) - Name(_S0W, 0x2) - Method(_CRS, 0x0, NotSerialized) - { - Name(PBUF, ResourceTemplate() - { - UARTSerialBus( - 115200, // ConnectionSpeed - DataBitsEight, // BitsPerByte (defaults to DataBitsEight) - StopBitsOne, // StopBits (defaults to StopBitsOne) - 0xC0, // LinesInUse - LittleEndian, // IsBigEndian (defaults to LittleEndian) - ParityTypeNone, // Parity (defaults to ParityTypeNone) - FlowControlHardware, // FlowControl (defaults to FlowControlNone) - 0x20, // ReceiveBufferSize - 0x20, // TransmitBufferSize - "\\_SB.UAR7", // depends on UART ACPI definition - 0, // ResourceSourceIndex (defaults to 0) - ResourceConsumer, // ResourceUsage (defaults to ResourceConsumer) - , // DescriptorName - ) - - // GpioIo(Exclusive, PullDown, 0, 0, , "\\_SB.PM01", , , , ) {146} // 0x690 - PM_INT__PM1_GPIO19__GPIO_IN_STS - }) - Return(PBUF) - } - Method(_STA, 0x0, NotSerialized) - { - Return(0xF) - } -}//End BTH0 diff --git a/legacy/dipper/wcnss_resources.asl b/legacy/dipper/wcnss_resources.asl deleted file mode 100644 index 18cc61c..0000000 --- a/legacy/dipper/wcnss_resources.asl +++ /dev/null @@ -1,384 +0,0 @@ -// PEP resources for WCNSS -Scope(\_SB_.PEP0) -{ - //Wireless Connectivity Devices - Method(EWMD) - { - Return(WBRC) - } - - Name(WBRC, - Package() - { - // PEP settings for Wlan iHelium - Package() - { - "DEVICE", - "\\_SB.AMSS.QWLN", - - Package() - { - "COMPONENT", - 0x0, // Component 0 - - Package() - { - "FSTATE", - 0x0, // F0 state - }, - }, - - Package() - { - "DSTATE", - 0x0, // D0 state - - package() - { - "PMICVREGVOTE", - package() - { - "PPP_RESOURCE_ID_SMPS7_A", // Resource ID - 2, // Voltage Regulator type 2 = SMPS - 1028000, // Voltage = 1.028 V - 1, // Software Enable = Enable - 6, // Software Power Mode = Auto - 0, // Head Room - }, - }, - package() - { - "PMICVREGVOTE", - package() - { - "PPP_RESOURCE_ID_LDO5_A", // Resource ID - 1, // Voltage Regulator type 1 = LDO - 800000, // Voltage = 0.8 V - 1, // Software Enable = Enable - 7, // Software Power Mode = NPM - 0, // Head Room - }, - }, - }, - Package() - { - "DSTATE", - 0x2, // D2 state - - Package() - { - "PMICVREGVOTE", - package() - { - "PPP_RESOURCE_ID_LDO5_A", // Resource ID - 1, // Voltage Regulator type 1 = LDO - 0, // Voltage = 0 V - 0, // Software Enable = Disable - 5, // Software Power Mode = LPM - 0, // Head Room - }, - }, - package() - { - "PMICVREGVOTE", - package() - { - "PPP_RESOURCE_ID_SMPS7_A", // Resource ID - 2, // Voltage Regulator type 2 = SMPS - 0, // Voltage = 0 V - 0, // Software Enable = Disable - 5, // Software Power Mode = LPM - 0, // Head Room - }, - }, - }, - Package() - { - "DSTATE", - 0x3, // D3 state - - Package() - { - "PMICVREGVOTE", - package() - { - "PPP_RESOURCE_ID_LDO5_A", // Resource ID - 1, // Voltage Regulator type 1 = LDO - 0, // Voltage = 0 V - 0, // Software Enable = Disable - 5, // Software Power Mode = LPM - 0, // Head Room - }, - }, - package() - { - "PMICVREGVOTE", - package() - { - "PPP_RESOURCE_ID_SMPS7_A", // Resource ID - 2, // Voltage Regulator type 2 = SMPS - 0, // Voltage = 0 V - 0, // Software Enable = Disable - 5, // Software Power Mode = LPM - 0, // Head Room - }, - }, - }, - - package() - { - "ABANDON_DSTATE", - 2 // Abandon D state defined as D2 - }, - }, - // END AMSS.QWLN - - // PEP settings for Ltecoex device - Package() - { - "DEVICE", - "\\_SB.COEX", - Package() - { - "COMPONENT", - 0x0, // Component 0. - Package() - { - "FSTATE", - 0x0, // f0 state - }, - - Package() - { - "PSTATE", - 0x0, // P0 state - - package() - { - "PMICVREGVOTE", - package() - { - "PPP_RESOURCE_ID_SMPS7_A", // Resource ID - 2, // Voltage Regulator type 2 = SMPS - 1028000, // Voltage = 1.028 V - 1, // Software Enable = Enable - 6, // Software Power Mode = Auto - 0, // Head Room - }, - }, - - package() - { - "PMICVREGVOTE", - package() - { - "PPP_RESOURCE_ID_LDO5_A", // Resource ID - 1, // Voltage Regulator type 1 = LDO - 800000, // Voltage = 0.8 V - 1, // Software Enable = Enable - 7, // Software Power Mode = NPM - 0, // Head Room - }, - }, - }, - Package() - { - "PSTATE", - 0x1, // P1 state - - Package() - { - "PMICVREGVOTE", - package() - { - "PPP_RESOURCE_ID_LDO5_A", // Resource ID - 1, // Voltage Regulator type 1 = LDO - 0, // Voltage = 0 V - 0, // Software Enable = Disable - 5, // Software Power Mode = LPM - 0, // Head Room - }, - }, - package() - { - "PMICVREGVOTE", - package() - { - "PPP_RESOURCE_ID_SMPS7_A", // Resource ID - 2, // Voltage Regulator type 2 = SMPS - 0, // Voltage = 0 V - 0, // Software Enable = Disable - 5, // Software Power Mode = LPM - 0, // Head Room - }, - }, - }, - }, - }, - // END _SB.COEX - - // PEP settings for Bluetooth SOC - Package() - { - "DEVICE", - "\\_SB.BTH0", - Package() - { - "COMPONENT", - 0x0, // Component 0. - Package() - { - "FSTATE", - 0x0, // f0 state - }, - }, - Package() - { - "DSTATE", - 0x0, // D0 state - - package() - { - "PMICVREGVOTE", - package() - { - "PPP_RESOURCE_ID_SMPS3_A", // Resource ID - 2, // Voltage Regulator type 2 = SMPS - 1352000, // Voltage = 1.352 V - 1, // Software Enable = Enable - 6, // Software Power Mode = Auto - 0, // Head Room - }, - }, - package() - { - "PMICVREGVOTE", - package() - { - "PPP_RESOURCE_ID_SMPS5_A", // Resource ID - 2, // Voltage Regulator type 2 = SMPS - 2040000, // Voltage = 2.04 V - 1, // Software Enable = Enable - 6, // Software Power Mode = Auto - 0, // Head Room - }, - }, - Package() - { - "PMICVREGVOTE", - package() - { - "PPP_RESOURCE_ID_LDO7_A", // Resource ID - 1, // Voltage Regulator type 1 = LDO - 1800000, // Voltage = 1.8 V - 1, // Software Enable = Enable - 5, // Software Power Mode = LPM - 0, // Head Room - }, - }, - Package() - { - "PMICVREGVOTE", - package() - { - "PPP_RESOURCE_ID_LDO17_A", // Resource ID - 1, // Voltage Regulator type 1 = LDO - 1304000, // Voltage = 1.304 V - 1, // Software Enable = Enable - 5, // Software Power Mode = LPM - 0, // Head Room - }, - }, - Package() - { - "PMICVREGVOTE", - package() - { - "PPP_RESOURCE_ID_LDO25_A", // Resource ID - 1, // Voltage Regulator type 1 = LDO - 3104000, // Voltage = 3.104 V - 1, // Software Enable = Enable - 5, // Software Power Mode = LPM - 0, // Head Room - }, - }, - }, - Package() - { - "DSTATE", - 0x3, // D3 state - - Package() - { - "PMICVREGVOTE", - package() - { - "PPP_RESOURCE_ID_LDO7_A", // Resource ID - 1, // Voltage Regulator type 1 = LDO - 0, // Voltage = 0 V - 0, // Software Enable = Disable - 5, // Software Power Mode = LPM - 0, // Head Room - }, - }, - Package() - { - "PMICVREGVOTE", - package() - { - "PPP_RESOURCE_ID_LDO17_A", // Resource ID - 1, // Voltage Regulator type 1 = LDO - 0, // Voltage = 0 V - 0, // Software Enable = Disable - 5, // Software Power Mode = LPM - 0, // Head Room - }, - }, - Package() - { - "PMICVREGVOTE", - package() - { - "PPP_RESOURCE_ID_LDO25_A", // Resource ID - 1, // Voltage Regulator type 1 = LDO - 0, // Voltage = 0 V - 0, // Software Enable = Disable - 5, // Software Power Mode = LPM - 0, // Head Room - }, - }, - package() - { - "PMICVREGVOTE", - package() - { - "PPP_RESOURCE_ID_SMPS3_A", // Resource ID - 2, // Voltage Regulator type 2 = SMPS - 0, // Voltage = 0 V - 0, // Software Enable = Disable - 5, // Software Power Mode = LPM - 0, // Head Room - }, - }, - package() - { - "PMICVREGVOTE", - package() - { - "PPP_RESOURCE_ID_SMPS5_A", // Resource ID - 2, // Voltage Regulator type 2 = SMPS - 0, // Voltage = 0 V - 0, // Software Enable = Disable - 5, // Software Power Mode = LPM - 0, // Head Room - }, - }, - }, - }, - // END BTH0 - - // PEP settings for FM SOC - // END FM - - }) // END WBRC -} -- 2.45.2